From 65fc470dfe93b5355152edb6a1b78047537a714c Mon Sep 17 00:00:00 2001 From: Alexandre Julliard Date: Tue, 5 Apr 2022 16:54:02 +0200 Subject: [PATCH] make_unicode: Generate the calendar table in locale.nls. Signed-off-by: Alexandre Julliard --- nls/locale.nls | Bin 660088 -> 663852 bytes tools/make_unicode | 194 +++++++++++++++++++++++++++++++++++++++++++-- 2 files changed, 187 insertions(+), 7 deletions(-) diff --git a/nls/locale.nls b/nls/locale.nls index be03bea381569f5fb01c3d2202e54a4cbafef057..aee79e4cb111e0ea09f068cc523fcfbf18d4b47e 100644 GIT binary patch delta 163416 zcmd3v4SY}K|NlSd!)J^pGcz+Yv)rzkS#p;$LhjNMk(AL5%FQYv6iHEp?*~^xS>-mW zr8^=f70S(iQOQk~Zct+GqEi3o`#R@rXZw7%ebD#&_+K6`=UmtOy58^C`?@>Vxz72V zoqMg`ijDPVR4(__++dgB>e$R0FV-m+;kwCn+bgAAUo2gJPa{K_SLAt@4@tOGJ=aRuii;)a4RL zxZdq@HQ>ChH+OSXxJ{==2bb$ytjkrMYDo9SZs`zhOZ*b`PTCUF)h3sKHo>)^8#?|E zdS8S6phX?%LRnApmZuiM%| zLNxR94jqfFTWYIR*&j;ZTB>5*)5acGFtt`L#^su5)u3JLzFk7B7hk_c&=z85{cicf zw|?VvmpK1LNnN7vwwMr`-1dGh*JPD5qLkTT_Y*C-QfJ`dE54N~_Dy!7+kM$J(CkvS zm&9(-_Ly*+-6{;N0UN<*Dr=%UOb;uGmdx^Va_#eFDLhP;y?6MsOhS6hJ7q^VBgz|_ zogC6=n9J1+^tr?3YVS?U4om1s?9Ey(m*h+*Rl+IK?i%iLHBC1$kmE9N+?$adQO44t zV!}&Txr)@2K*CLEkeN(M+VLd}%W~e#oiWBSZ}v``MU{tKuDYOT7Q&`@7M6~HS+s^l zlt(xo^G5z(;7qPBkx2(Ux#uC*MKDSEQtBh^OJR}uWpapJAJ4aDPWI-08I~{?op!6t zBei4}?@?mA9~M0dwTi^0Ra$$e?20i?d6(^)7;>Jn?uT8jU%R+m<-HTW3QMR&Ozg*S zJWZ;EUYtJzz5^8=G1KJ#YrR=tMI_le8l$^8(kAqTWu9TUC@6QxETBKo)PFB=579UvN6It^9jbd%_e2Dl8okdSsO6opr>xQ1oBB**fZOlc%v)<6X$;J%tw0+&$%qIJM@S24c95cbYU=8>JNOMRzkx6Jgl0gX~M$zR) zd57LnE67x%t=8OE*0{%;zCYgclD5@*-=*3To4&R@Q!qQ%JMBQ6*EW@CEca&Y?-2SH zl6OVQI;yq&)_9fNGDK zY4U$NZ~B1vp*gdPnET#Fr3J?yegIG`-Gyu)OwfAzh;V3c* z6FI&Du6)Afx)1CH5<y_&e( zwMeez-qaue*KSEw6z^6t3~wBNiEe$vq}Ibb_ooiweNb~Zke2xX+)&Hq8tZL!xNgV< zQfB~JGc59^9}Y`+gP2Ui8#&r*hDsA$uC8D|X!oScl>;P1dNU741erQC$?6knFd$(c z=4FY{)tmWqqS3>f^Yi5q5qF9wQX9*yCKgL1-qXc!x$gAVKQg9Af3i#LevU)INbn4p z3tj@RfF8 zB7#hfn)P&q0twyStnWBq;m!Wl9Neu){UVm@82Av03~tG_)@vO5FR>Soi)X(PBDj=RAD@H3F~)4<;PlI<@UBIhib_}%(B?u@`Juo_6n z;aFuV^DwYO16KomYTJ-IsV0J}T;9)n28UNCuRy{bj18HP?(#N2C2R+sve|xeDki!% zxtwep5Kjg-fE&HW>9E?uPhyhG=64J6;XuMnj#a!9&O`*Q;OwKRx;r@ubT4BQm~dJM zWS+JOgq*1xZo9CH!uvswZZ6kPd4?tCH0)C`+VD}z{pD-;cUsZ(e9IXzeEv*gg)66V zfdSHAubk#R@LV;k>3W=v=@EgzSsB%d*9VP3Qy>Lff}4QdbRsLBVa6X3L4C-TKFz0U zt_w05&hm!-E(}wCx2xuIdQ!1;s49Ow=~W263j*s&z0V2TDd%jqKc35PNZC320!@17 z%o}^&>_HN9^77w?|WH zVF_pAbsenDYpq>z{Xkl!nJ(9(U^UneB$W4N{S#3}mz}|$;*8Q&D*uOt5|9v&2H9h+ zq*4tdD$jV24rY^xxZCXJ#--5`dd1TcDslh0Xj-GnvA?V)7)FQarf6sb>{~s3iQ{6R zZ}n6{DI+5KX2epWJIDS&u5}M_)Ym$dMwVDP{gCQ-gXFy+!plk#YyhV~ZI$XaB7#f} zW;4#B8vzOPnIKzp-b3Y-GQy(o;q)$&<>u}o&PM}T|4FtawZ;9fvKGfmU_BoDb7x&D z>tq`7Z53MD=-~MPMe9}H(niye&p6!<_SIwkpfXAubt{C;W>pP7236*GUwXM#87r6S z_FbtemFM6+kkAaBGVa=`^dKYNBhj~2Z%+v(;wlLjKW3Vtw$mI}@n#Z@J5@%IQ8%PN zx$TAEy(+q_(V@v8l3p@c#c_NTJPD?Pd0-)U4J@;;mg6=sPoC#lAdrTUs0txM`W?QUgmZ#YyT2_rNcL{dIz8|~y zVQB0N#oJ9Zrm3v*Msj^GneBEIcCw&!YDL;=@CK#?}USULdv`V!S?VjgI^{Utk4F4IN zq9>2bYT`2dpXA~sESP|}T*XtZyn+RtZ#2K^VX`?Wy#KvRwG37?QEkkFG-GI5MjnH7!r@)A9S zF;~S7-tSH%_`S!==hpUOxe3RD++1o$=Tfyiu=#pH@#GSXpH)spE}X~6d=kh7s@zL- z4bWY+3T0;K#c5ygbUbffsPs@HEa73I(hHy9IE!Qn?{oeG==rkCwG`OlE0q~)L?qc- zWKp~avGYOM0pbD){qg1m=Rc^FFuLg(PJ5FaypR{YsZj{Vo)hKs^bY z*MonF`XEg`J**IQ*=ICseOnk4fn8rcFx&?W1Vey5N2YUbH-X4X_9JZ;*%pG5{1AfD zeWf)eNL-$sz6Yc|4wF{6J>p1vPpz)Z#c}OIZdg>;Dn^v58E#bWv6;Atw{hGB4uGG) zZ{QTLZ{mOF`~s+uVai;DRL&=Y&{tfp!Jzc3Ol=@tWmjRPW2%%c-tG}n1rpNS=5le4 zBQ6dsBEA~O`jck`=WhXf4LMw#Rz?-415LZyoZlhmTCfRh0sc6PEDp^jaVFdTSA95( zeOcn#oF(q$+|mzc@$)^^F~Z6DGAlg*2TtGscOd^prPCI!Fg3HPal0{HWho=BN#tw1 zBSC5njskhYCXlCo&L@KMRB%8HdC7BJr9`skFpJ28PPH)7sBXllb&*Dt5vKM;8WTNQ zs?w?%aULn-QE4@dFr%?rKuS9IzN zY8_)-mtfoPN=`vPa4+!ZP(PJhGoQ>Lat$(dm#wKQETyI~F;C­^piY~WAf45vcR zTyo7-39&{W{F)N0D?caJh|g2Gk_>`JTf1EAINFbfr6bst{-{d2jpAKu8CL~mktfS! z_(CnCx_-`HPQP_9pOF=&vTHf`%1)g?@6>U$hqvr2$|Nf-dV`t}rz>FG_4Js%sNZX< zKNzPgAo40>Oq1V8c6OCh#07tYQj1-#GT;geHtWB2MR>dPDC>?{tnP|5ss*(mON+(Q zbisF&G3SMDDyg=Sh<9nV9mMY96?-CQYRx{#$0e9BqDxxmjYFy<(-F#Es2X(c&+`2}x`_0AP8q;d&_evtw z;5SQEP{ZpPrSlr|9ZCt-fsNo(@VN!MzSpUYdW@ibB=6G(=G4~%D7wBLK+Wqrs^K`9 z1m{39ZFYg9dkM`9>}ohypc-l;sl7xGj++{2-V+))6gERFNCE9ZC(zA;tuRF;#p`-q zmrgw(>}Tqm5pOgLH3!@6MlH`o%1l-U%*&u!Zv@(Hm_&SibyLi)%94jp;7%Rv0v4X{l#Ul zPfrT27+g`!h&5V=)}mxRAS+IJBc!3qY{+DrOj2D3rDnthtwB4`5!`ISrqo)cHp=he zcO$*qq%<(ms2(jZdx*yI2AgxKEGMrrt7My=2Qm^J&7MwC!LwjG@HbqabsF<{$>mkk z8tHlKNF$^0W~!t71gKdZfd%;2Q12|&AuCBdK4}vZryep=`7X%#F6J-EQ;hyIDzARtS2& zpN5WUrjCBiw2ryW93C%3EO-N~0{*T}Z)lJ0CCB^Zdf!yIw7FK8)0~NO<0N&Uj$uAB z&g{n$wT-z+SV6UGVZ`Lk6Y{KBQ21G~JTJEUP*XLtMZN<&u(!jsccO(++E}2@v@nvb zMM0XmC>Y+-u_*YS0)k&bF7S5^|FwWiXUKKNG|}uj?Na)6c|Ga;b$Wpk-O7k4(CF4; zC3rb=!*boD#<$XCSGO{{ht?+9Sq(`l`g$WKZ{c(eVnHj=Mx|bFEu31Z%p3AOZ;!CO zR_&>FC0$ExyWU9VLTcRLXu$qt5)1@GKstETf<0~q+G?d&c_ty9q>kO7M}EiF4qda6 z2$p~q;4Sd31zXn=r>>xFNVl2Z_e#;;&rESBJc3wo2K)j1^{z7o6#h-FzfFY;+h~P* z+8ARxR(`$U zePjN-(vDp1OoffwX@!H@ITZFnEa(sJ2lfjm4{&bN?O#CQ2qYs+g`?VQg_-U1x@7YX z=9;;K5n+$YDdeAG=HJjk=Re=ULEuHif<<6Ccmu4mU=vtWfWRiCn@ojeJ8Fd~9U10( zURM>W8P}<|I~tYp2K7Gj3Vr}bz%g*rg020B0@~dxSm&(J4RA}Rye0mFGMZDlqsr;z zSU^`Mub>);0scBsH5J;8HCG(sI8*HlovpQV8*lpJFi$@Q5Nqeojyg#uv!Eqt4Q>P- zE!eDEstH~4Te%O?KBoGEU38s9c4h56gkt>9JF2AxM{ffi|k)Vc3xy1;pCQE6F`V6Pn)XkllQV`Wzsax}dTQud| zTbKjo)j;PQxJ30xHDatA+R>@H4=+e9G_AH*StJFGK;;Ona?Y(x`VExlRz3GMztxzS zw;*|jOoCZpF7P+3MCL==ym?-LXn{%lrtX^dgzij=@2LIVjhK+tRzcmWD)=@{H{rJY zA@>m(J~E5XxJ?(|a+`zP4#a}v;56{pZADfDxpPv))c#Emtv$4-qx05!gDM9NKqBzx zdIOc!Gr#jDlPmcR-AFZWH=32zi`tsOHT9xaExg^hrb#=pI6HDD;)3p=7q}CoS+ILo z_X0*9Li&(tWMD7NI-?hzH*vChBbiyFQg6qsQPdW;ybok+AD~iu=esZo?UPLHZ}ryN zkMwrb$sELjg+R8Y{jJ^>7NEO`oQq6_=kCx7llnLmu0|~Q0%QY!*MTnzDBMl1-KN6U zcWQ;B@8tQ7Ju{p{Ea=ar$^E9w6$Hv#uw53JPoJ>H8Ch$+sheuY>M&hy@)$ z7vPU>2bF$TUWIyYA=fQx;j#h>-zL}Frow({TH)L@=73Mswlt$#-nC~7xdgkxx8MLc zWWmEuJZW>L3>Q8^YDq%mG|^Y9p@w zmTsC81N7~F$UR1s9%QbHW5%n6AC<1DZn?*}f-S7R_c-`>prD`^=nK-oeHLu~y~Kv! zTaJcHCtn#bzfgv^&RNgP6*CqRShbFaNyk6vTLQH z${d*2m4hP56`83UpyD9o>JWSX;J}q~;qN$zp3^{$8|0|@hLjLA2iJo(po0b5M)LwT zdLiv)+UPY{^Pf4G*=fb<1Z`@#pb_B{{4 zdbtXDkZ0&`Aa%~otB4EMfsNo(@VNzhe|cR2{Rfa9F!djPQ0tE!lDDK>Hbh_FwhhU< zzIjfP|D*~Tswam*Lme&ed7FJ`5DuyVe-mxE%6%xmb!w2S#@o7eMh(-pGlv-y?Vaz( zR@Ra&;Nf|;gPM`QnVEmXaGn4BaL1i*XT*YDps$*lZrwihDqu4WVVbF<>_b{d%0mt( zMj;kF0iFW>oOq&u!pY>CY%09vVXbh=!w!XW5er@huK|BM7%vx4xRhK=O@%K!q7`O8 z!sz{2)gQrz(q^R27T-o(@GbZb_|yMw0sV)_b;#6zaD>($`6xZ=57qTi$2hn^F2R+n zSZ9N(Alic6Q?FDRkL9;!6QoU6>DH__QtM3{iC*g`d201Y*r$@m$zFaGC-C>%JiEWH zSD~YrOM6nZr>TDUC_Vj58)Yt6N9j?#f0Tp$5Hbr!g0Wycm}J3bKe7P(IY{T2>OUB* z+2@XSG~;r_g0&zE_^al%1r&Zlu1~bWIb*cG=4136Jadd=rJh43!EfLc@HhSbrq!B{ z0e&aX?G*YyzkgoEQ?#DXzkJa`IB zv0y74Q$S$`(hO5!&GA}c@9_{_tEP>25Zyp7!51JK>;~Uju=Rdn>m7GL3jl=2Otk|i zXtfy=^5((%PwIKF>yw3UORU|VQt$FO(KIxrtg~(o@`0b|%?5dTt>u=mYwJd%z$I_UP%O75lrT97E1Arjgc@ zw2{%19IR&`7R(13U=dgj)&jc*=i99H#^g36+f0R%pVkVqo^~iagjjG4oCMCRw_Od# zv_f~|*VNx*xPv6uSySQ8XSBlL$uxC2)oQY%sVlrkHwV>0ET|0{Sg?t*9-Eri&4XGa zZT+5Zuc}kD-qb01ZM|oTZtDwE@~=C+$luG%-|yMHeTmuXhWbW=F-&cH*1={3c?A=} zWH1%Xv|zKDXtPO(rsE-e%T&JfIZY<#IhGHb-!qpFw@!5|A3i6qU^n;{8~}$b*vfa? z%4Jzw8sTZRZK@v7^`|*nqwM>*Yoh7TI}~$8_v>znD#>xGfCbT4c*E58@kA%7h+|H4^1 z|DIXY*9;Xh+vt|J`%%=-tK}!wwqNq|YN?BYk7KlxEilr;G;(;hHWE80|JI>XHAiRc zLRP^5FcA3bK?B6$ra=!Pe$dp~Na<^LS7qG?1(yykty+&UZn0jOxb~7>nHZ&7)H1FO znueWeRu%EignmkXT3;UD*O$l47aR??n9PC=;1l5QPGy7LV7hX)kZX&ne#2Z{Ip^m( z6dpq?@T}$r5%{YRkIJ2wKcp&hK6>*hO#Ryz3vkAWw^L@?Qc-Blhdz;_PPIVRs17HGcN z3z(~xtv2VXHZM5{zd>HXyI>9Y2z+e8*8Xk*?K_d~G_@amNo$XMnH#}lBu`c&US{|0 zB&T_USY^Cy#Oa4+ZgvcO`}4FOj4=xQZmO)ekcX%8JU5%3Z$D42vWA-EdGfcqd!#MQ z8-GU@>hTwnp~qjsFjSE>dW~+Y;TiT$S)<@aYG4^|;&)~kjXbT$GFf?EF=9Hl;WUpa z`=lL+3sON(;A}qoQY|uHH+0Xt1JNC8)NeQNw#@2RtOw&|c>-)ZGE{WpNDhvSP`R%d zZ6n8!^f;IZ2w@*G+kp9C zEwIBcD(h8tV$IU}ZOq@03MBl9d3o2aj!Ip`>y9T$e(8mLmLY3RmXd9RYW~_~u@qNJ zU|AV^b=O`h%Xl1Wp(ZTirvrsQxwNw$ujirsl^eN#QPbWtBGg}tjIy55q&=xJ-!tNB zKgX&4sjbzV{~xHgj)erU0}tO9Q<=JyNpl)ffrPX&EM$hVL8emPr!wCpc@N1pmmS2V z$qxk!%`J<00n--Q&8=yw+DnX>9$%4L95QY3M4k9iaNa@+@4=L#?f)h&!&B&sOv;o% zKk6eMTYjW#*-2k`Nnco~a+X;1Q~E9nwmI7LvslcW$vHb=EAgC{6o8uW^`zNvh{Bx~moUHe0 z9^q;>Px^AJd8)zF=4dz1F-BPnm9ZR`>Y%9byZ?6ff-YTH&MUumPisK2#-Ihb0kj1- zfnGq?{nFD!7Jw@Q$@Z9br9e{&M`u$>+}Tt${rGiS`*q}XKi$q8HSJb7e2}+@reeJC zn?$o5K8P#;A6}!#d%n#fe9Y!BR$9$b)er4kbPr2f>4$cw)-qbAtu$gPY{o`@Z}K*n zS}kE4Np{C+b%QU{)5s;r^khv-S8m|;V1sm=0<|p^glQAR5+v@d?S`b;-Qva#<{Y)O ztn{MMZxotJJ0sSEoGxHarTgD7B6{3Haz1_D*CQ^t8{7j1f+1iWuzeC);oA4()AM9| zMf())9PGmHJwyxD%r~vtPj=f7k%n15%_Ll%gF@oYX1dmu@1H%`f6=`wIMYw> zG6%)InfP)e2A#fiBrfO%ZUenQUoZ&R%_Fh^e0h{?PitQaG>`By2S@DNR`WFTL%+T5 zWvOo$qA#!G$%ir@Q7hku{v3;nJwMGO{wDYY%%b;i<+uZU2W zUWQ+Yb_o)9Hbn|)cHgm^;!oy-7HaOQLL)|=o)=tvTv){$M7A#tH!{Zp3Ad=EcZ@K- z4v}a8^lzi&FipQe?F$ui9g>Mcy$%tDg&Eh=ohRp=LbWf;PP6m0dY9UN78^pvW;~s^ z;03S%*bCzf&h6S4Spf9kC)-v{zl(Eh3oqwDkhrt<+xYQCmR>EGl*(OE?h5b1S*-(D z0EXB$h>)7AAH7ry(ul}IK9v;2gh{;<`cs}M?1c(QXz`1%nrvTS*Zub|F z1>noAWE=Le&%#J}ncY2mwbk9*`RO7n^|ioQ!?j>4iUM00^mY_Ab5p)E7fbEya0`O}n*`D0w(^SIIye_1zwVGNb)i#`jG8^`ikxMe zd_))f9)rTm?qWX^KMsBee*xDg-06cbU^j)x0`R34MXve8rzwP&*%Y}SSxvFn5B=@B zSH-TUSG7k`Aie4lHEumFbt1ddrEbIpcYwRW05A}Y1-45f3&5rSkZp-}sX+4xSLdja zxU+fQ^W$F?F6}MUpbhx97OlnkC(Dv7@;stG*?@naT3qcW`<(cfU@tfbegH?nU%>WH zWC8dW@+t2~e(KX?!c{Lz)PyXn$u>A#N}`l3dcV;wWoF@0Gm?sPDgRm@vJscABfGQ7 zQiyi|UBRuOC+GtP0ox^!IbHgQc<^T~*Hz#KVBMSC!Rg@7#H9jFCS09OCUIwz$u?Et z5f!Uvhw~eaJH%Y>OY4cI#1=vZ>G{k>BGMY@&HKV8huwMLmpmF2&?QbpZ4~LG?c0 z<`G`bg|Nh(&9l|vi{1SuYhR{(Liam`*1+~ue*6Ug&KC0TPvQd4W>!I<0tf?jf!#bJ zE9{?r8wokP`?Vn3&6|BjlyH^q*I#9PYBkSlhfDSx{1yVxf5c{d zS!40CeUab5t|zVV?_ix>O=JQ1vI8}TeVamfnUh=67ON>v`=S4!rk}Q@5dHk7ShofG z?ky%2n|>MMf{Gvx$bFC)uhT`k+n zNEv|E;zmk-^LReTzd>XduC{+ei3>)9$H9}}888pn{)x=#pG;(aM#@UEZPfl1n8<{y zG|wTG^|{qN=l!^(NIR)=KerwfJj^}KNMLWU=kGE$O_h4E--J{kA-R;y%H2 z4F11@UvEh#E|72={Z4MsrYqwshQdoEXMop$tc*p>_ojCJ|5gK1Z6(ye>$vbCxXc<@ zVzA|=lD?wL??l@-YTQ>wVy7HVMe-BJV?aKPb%tXDj?NEb{X?3}ACLQ`bo+V33Oe8px9xaVurjGXhX{2n7B z%~mPZ`zB)N=YifQE|4%H)bv4A*>SnduSb5N0jf#-l=H3NOCY_j9c8wvtZyu5za=>^ zXQTHxoc*3+CqVgcm^py`A)d;d+s=yYI;@r8Y)7))2TF2Qh|9!fJ1cRgvuFG`o2s1+ z{?PA6Zss-bfBa)qwP#;fh0eAy}h|57M& zJ1D6&g{!RK?ADaH)4$$+{2QeGo4e2QZ_n$2`gdlZqwC6#N*{ya4;-C8EPa+V+gXtX z;OsJrYyc%WE5x17O5EvePe0De*xssg_Wy4tr2w2gf}^f)OLq2BCZ!45+4|pI^rSTD zJ4egL2|Lgk+yeYfN+Juu+1sQzD5+(IxO13F+}X05{Iu*e?QHr%%h@B$X~j)S`NMSE zL5H*QL%P>sSop_uACP8uW03{m>>i5b2H>m^cXnfmJDq*rkF#^Nv#WEg8MsA@0G-{X zK00aCdBUEQT2rpZUZxg~cY=Q4UeJg%+gp(p=j|c#N-+2*|J&a>ue6Uay0grRBbOP%IA`upHg;SZbep{ z`_|gxKGcl$?`;8Rg}Ae4OWf(~NBj|HR){;hvBaIuvNrW`c8hj)&rcWKjhp=Ja8_3GFTk+y zO5WLxMHYaw%Tcp20B41`vt=djbe4MvA7?)bY=~jSAbmtd-lSlwa7W=z=Qy22Ga7MOf@dw$Z*8 zkLOw3@{6{3{+B$9(~jZw;9reOd1htRI#o^i)rhJl4$5k^2yBtF9DKfWUXL&Z)Q7y7|x*tV_*G>%(Kc3o&T*Cke*$^_A>R^{=O6Z;*h^9g=lQz}^6juh7a@n| z=0LtDR*|Emw^3>5c_T2wib}3WIDZaY`8_`d1#ySCx`KTw=MOeYZ7s4Q`Hp1gKGtF4 z0tw^Xyo7;;=Tr_Bq9ew$Yeuro_sD(b)|F&Cp+f)ouec*#m*CEC&^3NA7g&M2b5&99 z%r&T6)ZN2BZ|kq~{@|+0{L_f*Q3Z+Qh~g-aw*&nB9opK+0oZorAFFnM^UUr`P9^s@{FeiM0e^r}Kl0mDVE_5DD>#?gCX%DbBn;!Y6l@1a zthCZU@qLKdhC3q2)TpOA=bw!GxRg2Z+@*|v=%z!+pNcN~vEpOI&&BenlX+mXO8SQf zsT(Jmlak!uOOR1$XSbh1njTI2hjp^;iG0kkAt?MoL-9oBC-FpNI9q}z6W}!KC-J16 z(-Wa6SMa+KOOUv%ishr`;#MotY@W7T;{E2?quu6D!)$3mFD|QHO7>y9_ z29WR+vy;?mJ+;T}PBeC_;8O01U2RM9iR`B2Ec}`56w;(~ihYp@$r9|RQDnqnANyU) z+V*2hYx}9C-D7$@OJ>n&^N`OOPbW=((wKASgKe9K$O7Oo7d5Z@@<SbA}D;5vH_ho4UrX3BdNN(s)rIseOWwj_3(NsGf1<@33AJqnALWV z`#N=N8F!^TE=7HRxzv$-F7j>W;<@OqQJErjj`%dq>^w5(%V;w7%R0J73ks!xn?P4! zZ(BO+LSzBhPbFKLul@OX_EUnj{q$gm{f8+u5zGcJ0)JgXWC7TJiEQut+J80A{*-ds z{_1k>4$;nU9{)-~`E{xNShtLdF7J-$QSPW|*6zLX?PEb};QapamE^O#jmQd9t%uwW z)+laE(rrh5nrdJf8URr}m0jK)7czoVnbY4aD{}{*t4?;0J*uuR`_x z*#svMS6~TP0p0@df=_`>P-KM(`k7|FCfiA0g2Kd{W+Fp$U3Ls{v}MRIypRUkfNIU) zUeA2Ly#sOEzQ_Ww-fAOiH<#}zHRzcgJS;1ld6$-r%wt^kNpM8-PZ(omVa^?D( z&&)Hw>`HBZ&y~zBl~hPYcZVmg{Iy_f$cLpXlUDfS($z_`YeQtkvN>#a9CO#0y(|7n zXn>B^qMP2~{0o`ROTs&JCbO+_E7F;|lU+JfVz6|k*ifeDJ4h1icK^AXxL_!F82FnD zL{=#8_k+0m85ui*n->7cKr{IyB%2yJOfgu~NQ6cYRa z{sI05t;hnfU+Onriv7)}ra#RawHZ~l{p_j^`?V=_4QLNK1AiAFkp*DC8`2(4*<@Sf zOKN)FBorK@Ni~mgR7wplqVH3%F~_E$C1?#cIP8n8IQ!Ntu#+O+``SN}S1GAAwfzY- zxiFk1v$GyLKhM|z{lWdfU*`~6aXhR!;!jjpJmJ$g$MP;#b7M7+EwS*B8)heu_05?P zPtZX*?gky3aIXX!l4iGu$O`l5jNA^*Rc;Fw?xc+JrSWiHbL7<0G(zLtW1^oWvy;Yj z;sOQctK6&HVfqeEqJ=H{Sz0Y5Tc)q+=(6^uvHn%sbnmO&6T|JExQRkL!2xh6-=stX zF!?jt{_-_>BCqk&YipAkwHXngylLL1RI1~+O)2W5wBfn=H7_5m4S-A?inPr2=>fmz zky%|wlQ~kyJtpKKHZ%500z9w(aYi*;RHknkx4G{^O} z>?O#|2HJjB1KPhqrrG|D;~niUpOy8T^!>DK1=4IX&B;?78EXcpMUiVy`n3NQkg;|! z;x(DztGP;cQmw9bTqSRz?A_pDFba$X6Tnnp(-2tzG!)t1^raD&M1ZCZ)cpw6*1Anz3vSjAG z61H<{lC9+_pT>v{vl}BbQPaptbkss03Oxj-0{KaUzmXxbvVo^l&_5jK&CN8+WL}7472ND3LMzhTb)dISgVe)aLL<3D(ZWoJsmXU zTcQEb7($UJeQ8{qMzV*QHEm2!i-L*zYV9ti9QFE{W!D1-R>D&q!sT=*JJH-S|{ z`3)4ujT}Eyu_^9|SUHst@;f(YU<&vQ*x`2-+M3N0Q-iL6KadI}JPezEIB%pfQrOE1 zJ!kIGNb+53O6$vJHWWoAFsq8q2Im50Hq+p`s4_ot+o;bE23&K*&~)*>6EkN)ZX?X_xZcsqAl{uKP^c68^Z zYFRrr9<}-Ns$m&-EmgI>yK+~%nEWNK`DiWt*SIoClSxjPh)f6<&m<&^B71;lq87Aw z$I0KLZ?8$@w!h>$DA{s_b65@j%d%Xc4uWrTCzgpsG}ATHn|LH8JcjdHz#iA~^XIN= ze@Ayrk6THu$J52zISvF;H4jNvjxPcG@AN!NnpDj^OD4_nE9W&XFwjBmzuD&l66&hd zPIO{ZrG5xMqZ5sDy-MxKPOMF)_dn(+FV8c*NHw9OyF;GnU*svimB6zfM4tSoM4opt zP!_2zo#5$wx+HH^TVI3h;*JS<9c7a9EskqcYG-#`?Twt;zd`+p^AO$-9|Y!u6Cm0! ztRL@}(WU-zsefjbid8kcQfYi7y|X+23!Ap(&o-EqH;bXaNQHK}WKzPlcv3-w;WyS$ zf6ppaD#V#%4sfFB_Qu)$hic0FJm@0jhiWMcWk+^eypaYy6bk`PVzn=&y#-RSonF;@1#kc zh!v4Z=vK;bJp|qXtDiH|93l__nM-~peu?R%>`%Gjtz-|9|mE*0MI#sDk-S@S2p zWn$Qu_ojas79LmH&~-EhNWxN4F=h+{?Yd~2ECb9!1(h9?24h zalQyd1R1VAzz)x;*xTvLmKN{vV^yv~`n;7Ro_TK;=c^p?C9%2}_jJd=Dz)b&vl8+p zu-byzy+OuBv5NA{qxybPb8mMihbK-p`>DKDDnWh+JV52#?rzyO7v1MTQGagkaf(VM zinalqOXyI>aE%7*!I3hCo+K?jdJL83gWLiM3#~@wk2&>X&iqB?^!j%R2{C_!%0eKb ztU0KQBoyyiO7Z%t{vE8H^wmzS>*H?PHj%o^wv6N*b%t4OVMvSi}vbk08p zgM(?UU_(98v2?8<;^^^CKM1ezSRkRUHD9fE#AQrvRylncQ#F-w z7t4$QXzoIRpctC3W3mLAmKNQA{nAvq{oV1NHB@^|)$#5^)Z`~oW(_X7n@Q8ABtPXi z1U2~$$6T-gyaJApW>=%gz9?5BzjG9+aD~~Oo&1E5>>{mJY5nL}HPy_1g}BL*(d2fl zpM#t1JjY#O`0PG6AkF3`yUzh|>qwEi{c!sfZZe^-R?E^fw>@b^ax2jD?D{LFNdurY z0$S7j(8}w1(xi#TVih~UJ*M*ta_^^~WODov>=?!KYmV~urPILv%G3qUrG6x@$RyMZ z;hGFKfanV5glOr}>$gVtF!VdC3HQ>2o}u3_R)g-j43lEIkV%#JDzi-m!*wg>WucmH zGSL{NGVkRrwZ{=Z4P?m6$46e|JPYWLkEp))yCcFsCE65+KIix)IN5|BN~@In82ULx zkAgozscW^{uA8njTvNeu(4r!&tguK;xR0T4X^}D2ZWm&Kgy-Dsehj5b9dQ}@WkTuW zU<7$=vI)fHO(Q8YN}a#&U-v`YEy0g#;Lt8qkG;$G<1prKQz-*^9C-(7C3-i<`&8&) z9!C!4RIV#yIoeB>b)0_?1OW0Xi$()diw42D|h3vuZw&DI>7tdDpA8^NY{R&0&M%P}BKZ4`n9FTN( znBfWnRnqZ1V=5c2F>22P?&OeZoJwE% zkoN=Ds>lb6&R zuT^P7illH^RZ|SF(6*9~n5nUhg zhm>f@u@yLg_-2lqIi6N2WQmni3D1(e6s!aL!QUXJ3JVOCHJn~-Y0#J7?j#E&jHTN? z$oUwRHH?jkduFjmOY%G8&`9!V;?km$t*Xi$_OH?w&q|;@0}jion1kRFXt%_|$Eta{ zJ2`0!nhO8uYa40*2kZ&^H0RL~hN~f1q86mPBc4d&RJ2^nF$Hu4w*pD;2krrbz!)Gs z_gRi2ldzTJG0?NB;d%ks;bYD}1%=-ewQV$}!kY-La(O@R863WayaEaTv8MK8D)k}S zE1TpYB-@_+PF&hcvVE*3JoLYJTq^5g9se8FVUcW>T)yMH)xsmqEf2esOEro#yf0@& zhbE)>CNKg_21``JBfK#F9H)|d2FDk{t6&9K)0`(5T*2St{6nx6$R%TsC8LNduA1TM z0k(tMQHJY1AmK-qGr}E_W~&r`4kL2@nC%#GfrS2yDtS>l;R%MJ~hu>7G9}I0n zDv%ICk6Qq*G-W)-a{hoydhB0kCvF$duFOv89IjzjWRdjZJzF6Cj~YG3-P!P{ka6zB z@bWRV0I3x@3go7Tk3^1R&0UkI+-ub3Xy0xR;#`5lAfje|CAC#E$I+cEExJ3kM=X$V zx5vB}Q)=c|cTA{<(mgtuT}nj%sI0MO8pEkb#&etsYV_rylgfF50XLgy0#OO;I6n;P$C@xx*{o5q>F4jyPmt$tafT}n9J$ZzY$6_~awhzDc#E$k@U9D)>*CDbcoDqY zqPd%@Kan@bW;Iib ze%=+l(5kyEmGTsIH;ml!f`mO#dj$!H^rzg36$(E3@!q_*f%@htcjX=rQ^d(lp7V?% zt?+Z6CrOhA7osAQG9?i8Qe^p6=7YKMli1M6Qd1`RVJS{@Q>!OkTulW)U_AtOngmL! zsf5bH!FYzZo9tFPL}|fsa2gbT&roVYWC0L4N45&J&6=nY$_I@&X8<`mx2n5K?QamRJ~ z4}~RFaeM{HuMd}VEc^?^n@N|8&RdpD`s*pq1s&@MRE?Y6aX~~;qc@utKI<-5;EXR`A$#U0bCuIt< zGq>L?J?386GzYyed6u&T3U6YlD0-6T85KMA^681Ah3Ki{E8Mk$u%27T+N!%)dT;64 z8#K*5rn%jyuDYxWXaH$cK}n4|*1KR@av7_XuA~jq-Pd@e?VeGY&%4Joxg^ahZMwT@ znJ~yStZO=l+Ga)@b$+@#`H7oImuJ<^$55R~>jvz5+`Bk`2#g0y*vAMh{aUHlN`=;K z)9o?+MdXR3KLc31xTbQP1LlEOfTS-5%fXvq9oPuI1jw$F-s$?2NK8F0Z5DJQ|4k+C z1?F9^JMY(#mJzwmwVRJzAYlp9oNQu>E;}y$Y9aCWz+)uZ&TS?x-CoM@MX8zpKh%X( z;KkO(4*bZer>~utT^BbqfBmAS{KwrPG#9mh0(${dS>^o49Ul@|-*Cl(ef8woc-kx$ z#7RVD^h;s+!KQ>UqzP_pV8Tq5^<%~?)+v@2-Nz5kVzM5iGQ8YS4JW&_Nq5a7+k06& zgii@PMq=;T2Iipf$DT*4<8JC%#pzm=G20y#_Zg?+yJY;Tjp^kD z(n8~z!$F^TbLP`UFhqw{)#tb?8=)#`4mU>gRN9=Pl-DY(T#OV{u73NQNgq4UVyB?) zc+WtxFI3BvJ30DOZsufw+aC&%XU&T#wgP0Ul)3KYu0L^l0?57LS&l{B8yZ*V-y2@R zc@&U)!v-AfaEHp8ODkI{^=j04_caz`a+QoySub#vw29ci%Y9h$&V3;;-hHt<3-FkDxHs^BV6A0&XrAO*;1=*CfG66SLJ9CU1GxE2CCe98G& zz?|Bdwyht&+cspIXb*Ds!5X@n@i0}w5fTIv)|N7RQAlEbT;}@fDtCc9$|K@cYRmk8 z->ZWdzgVy0AlVdLo>wtOR~7ma^F?=5-KqM%rKc~Zh{GR+VmGKf2@>4{m879+Z z(PwFtk|q=LJTJ&6k>OhctS+2&JE3mLldMyb5a zY2OTqxU1^@@Cotve5nd#_MB^)!^eU~okKEs@aj$X}Ji_ToWh`=B1z*92eHDE6 z8ZMIHyC(W7sD?eovv4V5+4_TYfrKSiO{`Tj7x7qBqF<@euLW#R>=v@8-+%fW{gtjY zeJj$Qy!ex~h<8R4RjVcLx}N*4l_y)Vi+KwAIHyy=i(oN$8@vxb1RKC^AU)ts?2Al7 zQd7fq8(0Uv2X?5eW-jKMXsM*St5klP_n3f$cdQzSP_avSL{q1k;o^~k{xdT>V);=f zaak8hw)!e~$-lx@SeL-IHFo`T`Qeja`6!D*Gbl=|Jo z>2@H0g#Bxdh5rcqcce-EiXxFo=-k|JJq6B!J6q(}?<^I&jQX`y>h7!%3nXNrLI&F= zmARY>{*vUHB-`H^`-iwxuw;8w&0Y4dQ5NbYP!4KoxFTDcz4Q_&Z^1&m%3026NkUU| zmGC;3g%nP^fdOn>->K4HcZbzF`n>smoD`6sRZEyomZf0pbY4oIA%D=Mj8#(CV7(cv z@_~fy6q6cUs!~=mNF^Gt>aVzHvtr(l+4o`bg;fhhnoTrvRQk#yrtY87a9pih$<%$G zQ{%d#r|w{6(y^jA+EaHM&Ig0*TN$p|t<0(0(xdC*lLh=?QXt`5t1bqs>^I%zo zt85(0iUE=HndZ~P1rmO}SRs!D&GrJRU&s*aie1s||if)6jiaV5AcA7YG8 z)XcZtw|l-u(>`_VZJto(aQd@Exn(}4VpqAt;?5G4Dn3hl+jr89QVf@1r3rmqvx=JA ze5<&9ZlrSG;r4kmd1My*lk%UaJ*zI-pcwXNa0iMHrda!mehhv>J)Tgp@4Ay~`}-#4 zS&IJ!WG}(phWGka)_d-_9#?QGB||yZ06#v%l)~{3j{gAr3!AM-lYQ}9EtzzY4V)hX zecKwY$3f*AQAFsha^GbG-&Cny`oJ9_lAA4QFCHiL;ry7I@ILj`k5j2HNj{+lz4yPN zFD@5P-*Z32#;XM%xT^-hzErr}cV@m{#I(2=U9YPP@4K6}d7D##tZ3JAl&NoY0uM?! z4gp(9ll~_f?P)Qpo#E;Va=9#<)Am`|P}lpkLb%Waj)T+7@i;>XpL5C1)`;$I0|>%gViP5QbLIM*1Xqsm^(j$JoO-vK6o zze=+UtkOT?r^8E#%1!4Aj_-o!TkE&&)^WqSk*HK>Hb?sn%dn1IiojY>u9I0ewK?`u z8SAJ{ON&%zyFMffBy^y@Tk73XVRh3|pVm9} zQKZEHDSj2lt3eWI1|y+Im?*+sA9C}B3o)!^XgFC#8rBwgO zV)zDk=_bit%o39G4Y&EYrx|G-f!qYyEAc_ZrBNy}yp>wEA>Xl3AIDTk7H_rK{D)I= z9C#K?2VU?3SPrCj34f7^&qeWHtCBXzU?tn`E;5J2HWwmJ@)DOGWs{%ihrBqbRBl#2 z`Tu&W6z5f5s~pC!bEaSFl3Jx7&0ktI|JZ$daJjB@uX^%MY0f5hRLDKNb}!N^Nv^80 zK6bn~Ey?zNrj;i$?r!plQgK02!t_^Nk_@U?VsKBwW>lrd26lGoUREnws`Bn!Qf(Ds+CEFV+{i}bCveZEdlpn$NlpbbnUIOK- zxhB7?R)6iTYxGw+UsH>VP`Df{Oyt)RbfI;eXRE$@5Pij|6xhr0SFn;FSN+9b&t>*3 z)st7l8}VanjvtaNp%>?ZeHP4AUdmS4d#G$ni>~ahw{t%QBvcAAXU_*!_BT}a7?MYm zZ1b8)Tq^rJUM+c98G9~@`3n?&8x+G_94vvkrR7qYi-Rw#j^F;<%%w(3VE#G2|8l$8 zTP~r_2h$&4Rx|gy>ozIw?}y8Sbi28v8_DlF&2DsTuRE%@{HgE<=~+_Kc7w>D3NPP_ zpCfa0{;BXvq{&rT`jyCv^RcN)+eg2;k0MX^(mr-^`Y7aOPJ56#kRWlnD(~WDcOS6Qfmo^D7we z4XAR5Nkr1ysN4f^w^ZsIght=7ht*Q$?q>q#m!AiifNL$4_e(CxxOh^6@pkaJ`wqRn zSNX={J>0GG5OvUZ?&O5=WGVdHpGl-m0ropF%Q@c*a#d&!JE^BR6%FS(8huzDg9s1@ z>Vd045=a3JI{NMU1byI?${UA63{7Zg*kHx9#d=4)*>kdVyvfEC+ zzp_a!IK)ou77XqL@>`K_RQ4fvRP;fj_HRW}?xM4RH^4Wbx61mS-!odJ^wjvs-3%%q zVY>Co`rRt@5dC?oN;!1N=7oO|=Eq|0xx3Bztw{6no;eJL`D)4!45?Qru|!4x#5!sP zr>nrmHcVG4?I+ezn~BOgN($IZ!aCd|^#ljO9ckt|$||be9+5_C0SWUcCv#3umGL8P z^PzzsFWRQq_Gfc6HlD-2tfPvw8Slx0NrsC2nd)9bi8p}V+UD!`>khkPqCX&6a<1d} z1(45P@8hUHeeKQsGpy}lD<*C=>Cavfm7ZE0$JG)wxJXwR%OE zN;=HIl;2ExDOL+Lb%FgRzGTZ#X@~zU7qVb^u`V=(Pp1K9ZC`>5uhNM3t7S*Hit=slDJFWqByJxh;VGUois z6(dw-9i^+?uX2xGx-p^a&)8}VZ^3W@WAUCh$i7cC|J6OQ?f2w33gn{%=Q#TND1o>o zMeL6f4851`1X|svp`Yehdh|WujNkBUs7gJCU;EUAW0zn?%=t6Z3zNg|GjBEX&BS{? zB)?Kyesd>R8++9EHNgcGTXLWJ=pT3ERLS}#@ehFfDVi*iadiGEnjF%^>r<9Y8gsz? zJW>T?2J*`mV22Yb=LAauOQjw&rw|Jye2O`lQf8~1a19-nsv!N(_MH{g9mK zhh%;wrk~U#Gfpxun-zBKq@%**Z~Lr7N#VckvxPLf!bBDTm%SAE#}Ainc@?($l;(2e zl)FP2vxgbpyZgndJ5KZ2Rl5o0ul>{>%$^iS=U@AAG7?z;jIKsa=fS>BxGRs5aRx?r zs-!dS!f1}}x3uuw~dx>f|MG{PpN>tc&fsAH*k+ixxj7C{hYo`l|0CC6nGL$19QMUVE>5g zWzK7D<*w3_NiCKi#;p%n1S$>xf4rRwe9zVY$G_iwKVLJ~&CD8x8HqJ({DfRb8X?Uu zNrWPn>9g zt&cSt?UWq(>)+Kwbq}IPQwXJHSi{W)=-u+iy3oR0&& z8$XHde>Yx)pAI?e?JzCOUvbwNN*#c2hgwrBTaH=q#xNdZftuqm(OYmGN%@EI@lMJ3 z=hBl>bpw$KheYx)s}u_*mEir8o+S4T;tsj=ER;$@qZX@!Yps->YOqdpn3U-QR8Gie~4{h1`W*3Vs z$0W4COR?;ebc15KMY4=Sisd3$D4Rem{(@EM5mvFBVUggSLu;-o2fd!un3^M4iviB~ z))n_eFkdo5Jyp6ri&JAS#$F9Jf~{Z|cn3J++X37Pr9-m=f!GE+a` zmg>`uN)!5@_oq+qczTx+_0ka(maFYHIS@Fj|`GmLYbOKnoLwzcybY4 z@kwILl__QDiqGL3{0wuo$1P}g^WC7eoN(=mD%k0Yzu~7pH=Fq&&F(=s?F^~uHRYJ6 za+DrLe*kLgkW5GO2#F{|QLr>srjU+#5uB8pA1CuMw>%`Ojj~4bLP;f*PC8v?4Q0%t zkdzW8m65V?W=MlnmF<*~u3aS{Qx~f~0*ApDpb>sf8EIHyd^!>Dwg7yTfK^C2Q2PC#U755O%aiFk@b53k+jBuyoUL^iuaP>oMVSLECwb*jZ`|vFM6>6H> zqz+4RgeRelUJfRyE4)$Rd+RQOp3)3NZ!UC}K~)#x3Z<9ienrwFJPqCTYmBA6EyrAsisI%vSJEn>Z8x~GAt&m>GfYE5pbUGE z`4BSK1+dc@Cb=g{W+hL<+S3U2e?)!?zj?s9uf8C0mw6J}uEJ|GcmwPMpMcN7*Wh~_ zS^~WwqG4(x$8v846*3v;Gp!P^<(S1k5PyZ5bkb>u<)>_yc_L%=`~cSZPrtHuHu-9_ ziLx{L-zBd~1R{SgWGADDww((gKLD`{BqGK$zU=czS^;zq#7mMB`IGpQ?Df9j5Bc#k~^@c!YiA zz%jo_MpaL=*AyvJs(KRKJH=fMhE6x*L!jd9CBzU5)oyceo)ZD;goK8ru_PJgh z$1?)goj?Y0oZYa_;H7DPm9Ux@O^jehWM|$?Zy- z%n&ri@^2?`wde!3NOL%DPmn^*J(RQFOWu;)+T2Sz;XNB~hv>sx{~qUZbth%Qn0#IDNG))k_Y>^kLm#Y7;9I1VAdYx~5X=w)0E0<#0F~z!lUO;_4W!6{S zI-V|kCnoO-PeNI3Ct9ARr_wPcw~nVuSWTQ)fV<`0I-X{sX2=uPjcaUO&t>kWl6Hls zI=>vrxT2VHV(TKOH6m}D>My5?cSNX`^@h5hhFWO_?h$x*D%$&(lpY7hSQmL0X6lqcuWCmtiu;Pr{7-p!GA2! zXZl78>onQg3-D8@QQq1`RIYQa!&g%|0$uj+xD!07QOk*~Uk=r-t%K|fOzd_@?*vbs zvbza-59r|fsbnQExE|$F2iH3h=?t#TpXBi#JP6(dpWBF%d{er~Nl9lKg)o2{|cfA~sgh`+Y^B+Z^^P}{4aT>R^q}2B`4z-h*)4B!qJx$!B zVQK3N^i`#dTZQV9WsL#*dPTsX0T*7q_}L6T3od=2v(^1nmL>H zg-oAo?W%7|Zevg6>5m6)V3(%I$%b@WlP{S$-Bv#nH(k2@$5XxA421adpUt)6S+E!^ z1FOMi;PBTlO%sIw9-d5q3Z%>+9hS8GO(M=FM9KcJa=rm0yw-K_H1*96B2(|g+=*czs$o#-1pp5Z~|r>)Ma{v9Q` z84nJYNbA?jgx+As$hV)+hD!1^OisJvy^usKlbGi?4&z#HbsBAkq+fGs=&FiA=srls zlb*g%y5CX*vt?;Bn#2MGEtVE7JdMk)#JL{mD#vEYY~f*tB9~f_n!s7*s6ET=>JCnU z>t|c*M0Qg1=J62YuR_faq|=E@cgbtc!tQJ-Xnx*#YTkZ%N~_ZDnk8nlb)Wq8hE-^u z3Eo?%s!7tcrKe%tKUm!GzguJ>%V9@@>b89qb|cUf zv;>`i7E%vv4O24*TT$*A-bw?6q%x79r+D$2Rm zt}~DD=Rg%a9SzDQSgQt_W|CyK`rj$6g%m{L4Ujzm{tbms&_KSFovl4*?MUhD{18Bc zza#74f$l~*0Q`5PxaV3w1kkwtJ5uK4qn%7i@x+JPVzkM5Gxjk7<7330R_-q)qRl1D z+$3yohw;h0EF9zJn(h5e=FPmGXjby1M_c+;6Jn&uv1{qe?Qz}!!s*Ms`Fby7SAQQ1UB*|uF3iq9#>t76L~}UtJrDcU5Nim#U)-sl zNU#1nE7$y$*KPyX;rjn(vPA_&U|YOESxlFw)bxDsp9@fMz$}K?Yr$gbvipm zyB^tlz!zFvAW+e2Sa6odh*fF9xh%tJA$oVXU$Q#bMLXIo+GqlrOI`=h__l2pFo1$o z+Jzzw+zg!0ko6`^TlEl)hrgPYxbs1;9NuK*Sa&E}j@k6yxt^w-FL9kb38kxOR>b8n z2YZz#QKa*>c`)^6>$=4DVwVL`pel$5SAZ))GDrd1U(&EOOwCB_+2DH{rYHX@OG;N7kS)?|K(Wv9=_R1% zMHb8gDO5G7##))2}w1_)z7Zk;aX~;<+i!lT^MYiG6rxfAnfhVjcV(PP!DO z;XybxCQ0gZX7h;k<)oSFvxcgpCSH!b9TIsCH>LiWZ0P#$m1{9{xEUSpvUG^@)gef^ zc0sKX;=aj~8sUGVOp&}>J@K*HP&L*=WH26Rd!B}EwddTnA(2&P+m~8KM{xfI{_{L9 zWuA9u|I;fj)J}TNpt07z8~!DkJ$089cj+sD^Ss=cHa$W*bmNWH5TyCPt#+b2FCS7Q zryFmp9iAgdq8JUvfk(k4FdOL2TuEz~>K2Ifa>8Cg0evFy?Rm;d8|q(@esciQeM%_l ztea`5CP6uLvnMI`Z95}}+=0nV9r5c%?q6lQk6UG^SB25}nApcGIZs`> z8D83`Q#jpHt^U)}EX#x41J-l$Ek5NIvY5Lws1F(f=ib;Dw^ME!Rv6Kagx$0F+;UR} zR=E}25}@4LnFQl{P}%pcJNH*Cjy`8qc8omP!&BjOjZY?L#SAc8-N?xT^Kd)NHLNh^ zuMzfk0Om@~V&3#tPn9YT6{neMD;`1VM;eh*y=_R!CFn?nG5ivSe*|C{q$71Q%Qm-X zz{;o7#7NCvKIK?p3HvrcRq*OdE>|4(<)A5W%2C4#W7&zY+m@W$0+fbTjyrn=XaWB< zS)S}w>=uyL+o##yM@8R9#^bRc2Z1VI!wMrkp0u+9kXBX}>4@6`kiM1Rmn7vjy8A{X zY>_Fq@xb&3PK7Rh?B$EiEPlMtrQVh_fwTD0aw&@+!2JSCW-nM%OFOAK?2N!)VfJgA zM|a8T%LcMOnlB<<;axMOuh-rBJhN9CfwF&)?503PN4-L`Pjb(f{J!?&|2a}D15VGh zp05(qdA`cUJCVnZb=W(BK3{!+UD)&0VLMEpuTJ5vw2Tk9kj8nws?D|ad}T{CY5G2@ z++~l3l_a^JCz3~%Q|Uaa%$Jzk|2?*+>|&pV}EF>HS#HA4%Fr zfK$B7ala><`tcs_Bb;9WZDqep0Sd>vms_n&1vss29Da&6D@>SsIqjro`Sr$Mq2~Wc zr>%XQ%rnb`cOE$7 z*6j4~iFIVZ0emR=cY7MP{SoJ{pxV1EIAdRh-3T-VEkVdiYi(&bMX6zGZo_XHsJMz> zbFXsCs*>)wP+O3aZ8x0&g_@Ce4ZrMjy*Q3vC~)15x$YgraX2}XZ%wmWGVlKP)lszq zs2v(O5#TF z#BQfHw6&$1K0jhnoO#6pe-jpr_M`5?_<{#LK#4 zHohc`bOy0mQXZsq!X)ECPnWR74c1o8X%g{}CqAkTm$gte6}vN-+S=v1iSN;}ljv41 zHOsrPot;E4;64JnZnR)l5&s^MluR3$y~&;q7r|DQ3c_{XhBmWHW z)OLR^y+^ay{tcoFK>0*g^04(2KE9v#F_)fBI#q;*rS)Z(&6DtKvMM$LUKDKJ^<%MGRd7QSz|ms zDZFE2m`yvHe&3000esY!ws;(w+7`9Ej^kYnDkn)&dQ)&J@18ENrDN$PmF3`APij~V zQeFW%ihF`5A?l;;{7jJY?Tgm{pxq>c51c~nelC^TBiK$i*@@fp8VBKk<-joqC36DZ z#Fk>t2tOuRp{ADI2#@<*YnSnCK6B!7dEAk}c`ZDNXP(LuH;xyT^>U@!u8_)QXYnS~ z8Fasp(c?VTJ6=W#n&K5T3e7g z1K&r0Ld||2`*czErWn8--Ff9o2|#0VzB&}rchaOAMvmM zhGk1_;XwctQSVw*LF(O>QtW!aiX3lfV-1!5^{#EDvYedY&-F}OEe2;*I0)y)aOk?l zn(h~;tro?(fb8y(l*jl*%n-z80{t3zmgGN1N1DT>b|j7Ed=307{9^OixeC?-HSb{m zEfJ5?k!&euD|ByVW&+flK!o1@Hm(PvcOER2wZ(9zbM4kFf|3( zbzdhO90XmrS^g6w=LyQl7HO8z;{++xydGke(PBxSOc|}iTgynjIl+C>rQ@i21>v}f z%=d1y%CQ(6mF+dTD^>&eD9#ycSu z>ul@dF;8&U9VOo&N5#H|dj~ki^&h~yot?Fy9Eb$*KnF;TOBmBzOl!81D9l|Q*av`` zW}K_*j!t%D>P_*Uedbp1)1Topx0~Rn#={_~pIn_Lc~e>7oQHP~SOm0YH2APAox-YY zFs*gR(=>5U^;9lh){Va@Ym5MpZX`ocLWjPxDykf}SEsC4{^|Wr4yfFPtzvpyo1ctynefa4QCb zEU?xFU(}pN@3BRiy~nq%PBHwdKJ6qe%l@S#dj(Gub=GS%+Gc4w{eP>MmPHV~uHWHy z_1$6hxC_uL>N0aLVHSGT%RT!Yk$-(TGm+orP!=;|r;ZAnfeeM1Q%5=jDN2{|RvkS` zl3A86?R<4nk~$aBqEMsPi{t2?$$Y_pCy>_yR9H{^mdeg2nW$JFTJx?#`f#b8&F@EE z!l@B9U~dQdv9$)k|6^tZj;KwznuIrbQ43~+Jm8pLY&SPA)5|&i(8)tPRwG3% zmz192i3)p*kw@=sx5$xfTHdNnE>{gwIzMyUvBerKs-o;tiFk?{a*lbM&eD|{UF>vT zojHryC!jH020cX$IXQa0c?+0z@bYz$_1~JQ!{<)q$aoxGaMdGaOYh=I8oNJmehP5tT5CN_8@z@UgKIQmuG(d`5P9brpHf#wzNMZWfc14I z>#(_$ddljeOI>ex)^K{~Tu+^QoZ&Q;WbL7F3wCdi4(?Jneom=tSW%i}5?77?&Eg6C zmsqNWDO0`c-6C=G>}rqz3UxLWN}Gp5&H)s`JMW5lK2@WKQ0ydQJ!r!B5Q=ww6wPhkfPh@RvOL|!h!u5&P&LSM9`CIKi7b)fqIWGXso6G_~vVa2bN$TPa{w;ER3cL@= zhJ%S(z<=7%m zYNLk`qQ05fil-Q}74yL&umr5Iq5L%Ne2H7cdp0wP^j^eLjL$V{ekyL-R!Dqr{Wr)8h!dtS07_j&lvfzEnM?ivP;-O^z(t?v!yy}pmkfu@7k zx7N)x$Cj{lWFJB__50YLg11So_y8ONC3-XMz&=WtmY2rWFf}oI`Bpo4?G4_iVmsym zNngs3vn-KTw_mW77dd>4VTsSyo>3&xdEhk^%5KS8Vw3lMI{cT&s}e!TkAvKdy}`*} z9jfFHE)CFItWs|DLV!}9wv}gP@HChUoL;k(T%A(ZuwoG1NRmAk(J&>Wy{54gybz$E zCz@R2mQm16sIuani^?zv*&C%a2_`>L!-AC&;RXy4C3KP@G34XR;SXqJ~m-C2BOmsd8FiUk7x) zordi{-|m5*R>R$Pn2LT5_iLcaI~?&3{swC5OXf-%yCqU?IL!Chczc(uZ}2erAy$pN zCYdW(hHHwqHhJ|fFS#o`N&ibVRP`Wgw1!~!{Nk#yfl+#(oLtF<>{ZX7y-uJ#Hv?f0 z$duI_`!)vWqu?c?O~>AZy%oFxc7fUW=`?$_9j0X$wU3vUU>|6-p9R(VaiO*xbHV1u z{j6C5HJj}F1)o%1&1;^4lCt{JlTvj9k-8ZY{UNA(`3fbK;Oz&`hh$mv;aGcv)p$a5*tMcyI+-q=M7c>Vc zpdGj#+yWf#8m9Ux_i?xj;hsg%bMIP(?aN)s>DaeZzFiZ5yQ=n(#Juz`7rvuF5rzK& z3Va_xfgpvyjmG!4q_1TYkMSNW*0N$PbAV`^)g*bnr%HTXoZ5vnqVrvnVfYCc{yum4 z_pSX^W|H^J0+i+JJry~XA#Xjel6RUJEL~Sv1~vUN(2UN`K<4pr?GohmDS6QweB>u_ zo=m}dPj~lUlKUFR%D6vZF&F4t;7G}QnKwjLxYW~$60!9yu$un39|Zc9pLy674zkk~ zq)Xn*yft^EG>D@);_ds^d@$DvHHYnn{h(xQM%8?npOB^-OgdQ`@G%8R-Ud$LxQ{dr zjS1{x?jM}S<0P2_g3-`?wT(U~X&V`(PDtiPUc~SLCG%wwpH17q7V)1qdMb2w6w@yw ztwM4*wz80{4J>!QVbKHLMsk%YVp&1PE4^hfmWy@~T;u5t~@mJ^p(3yquae zvH_cT?{*DxG)t#6br@=epZ_q_9zUnbHLMskZz0J*5RB$1dsuo<>b_>u?D5)pb%J70 zc^Degi$UeAPN1zXXEUwu*$HCtbWEk3R9Ii#)x>%psF3)l`m08V*nSTRU`N3_2| zu=4uqbhU2SLU~2Vw_A8vN|M+-Pin{LkC=bam8)Va8nQ{UE_O3)-7tAA=wPE?b$)}; z*b37jU@Gwzg3CUpX?|=Cg|-}Xt6A&UnR_l2_f|94k}|o00Z4*cP7__ zGRIK;Wx`zH_gkMN&8f$_kCffcIR6^{uZw#dCzK_QQ{<0T*)jH}B7e|2ebfNDmz0%?>wmz0ROeIj~<*_RP zy|u;hRbbYhuH;fXj0QWmw(0n-0c}2|5J0k|@AOnpc2a9c>2&1mTUsx!6>2`^safwO zYb19k^Bsqm^J-Fq-?CY?ZQVEaR~S{ zVmvLIcJoj%ALkNK*jdsq5~e*x1^0X0YEV~y#&4#;<)1S<_}uC#wj8skT)CT`a+{>= zrl(AljNQx^18w-c2o}mF5Q~X0nhhiEuV+~Bk!0d8mkoQ^*771zUIE&bwn^68bfw)~ zs*W0K4&G|Yf5B}ItN?!i$JCSjx9Li@6wRo1;+M>)fSNXl(4}Qf>Rjt$VM95xm-FhD zi~DUjTtn;|KoK02OArpWl=I_I#j6yTONV!g$D>Jb9_eWE(3fVR7qcuf@+k|EtbBgt zH<#2)fYY-!OY(l^w%hR91-7Od9Va(`r2i5rDVRx(FjR+!_ReZE$)lBcWkkqVl`SzGINDz za-04A#)~Dass|x_E!lVfHVEO^!U*33*Zz|G9z&7t#_(UZ8-(x&<t{~7RIChdLaZ~;&n=}2oqWIe3Z;{JC@8K%_dq6yLbgb=rv+3^+E6 z`=PdpIjz~sMiIt-#|j&`7pw(p4qzLS^D+IwjM04L^P@ zut{-}Q%{thUTU;w3EBXZ-d=3S{A1Az`H=^zAFYPe2)9Cw=C8M#4Knhur%Kgkc((-I zQmr9W*|#B=W=1nZYTWK=eo2c}c?T)h6v*_jd7nqIE~E4ERqapx+d)#dp$POX){1M{ zG=X1L>^M*x)B|JibDDsL70Go*rg2#b5-bkDRHM0yKa{wEjG4`WX-CyuZ(W z`>8nZ4kQhiL!W!08T}ot?KsZRUo8%ilJ^}Ps&MJcLAl(H zUluq8+MTdC*h$Tj?|Xu+xIoPZHis<9I>y~sFI&sV@6S8my@lj^C;4Q;L7yH9%{K{M z^N#ry_)Jm;DR5ObP=VV?i(TN$CHW^$mC{-tt+g(~r^uM^Jt=3^e=+EMSqPmqXtK{L zgJRXcNvFE|3rYLQ(=hocsr@I|KjL=+=u=+)1X|$J{7ktO#|Cg+PEHkgqPzV?@Gx|7 z|HfMl5CN)!y5M%YW_|32pdHW#bPKkIsd*OrEzs_FeklpmJdgdM#34G=7OBPC?hxJz zHJh1C>A}eElV`5AJ%@804eAjm9=ndZ!S94=nlEHl!T(-SEu0{VCPVVNlV;<+XhrWu zdB*ggIqzJ5BC>ll4=TX?iHJ-&?um|NrsUFCcVQ0(4}wR)H1L$<9cR(*Y5S5`u6J;M z4a)pMiGoQ$%_hnHg-Wuem>tjeGp5HvtlxZmEh)dUjVXF8+dfF;Y*Dz9>))vtjn-4@ z9={}XRjVL$x4?e?AJ#Z=0d#c-B_|gAYM&AE5+(aYr8BD_1xSBg_Wa6*i}7!|TpIfX zUhY5HNXV3_9QHBnpMkRo5QCq-2d-|1k;K&<_rqWYSO*;Qjij8Q$Ze756#13*%=%%; z|BWKoGx`pY^gATHO;P`VtwpZUzLO0n{yiO3?;tw-3YpS>om&sZ)S+c5{nC*8_p_$6 zoquN`px$3h*a=Ni8w8uqYTTgHS?iI(7HO8d@6`6>{>r4~8{zrg`xT;l4vi`VqWn2ud zQ{ehs0Ip}3@i|Y8qbY^g<=~%ZaWx-vQ~siGcOq4z?8QC^f;9?_Tg*mbi#(@MxK0;t z!CwIicWbB;jyrW0;X$Wpz(@VK25Sh)RU3lZK^nr3i1wVaI-PGrP%>6SX!>^muByuG zGT`sCxL$nW7K3orQ|xRHKsZR@R-!1bm)w6ivG&hTd8|W<&R}Z4z?>ELM4

Wa;fT zqGP9WsVTCt=L20=SdMM2D`XKR&RJ9N{LRlKz&>!@KW7GJYsn+okvqQz{oUkxsi7PZK6yQG8| zzAI2uLX4!?U1Xx6?_hrnwBV0nTLm9y_!NAQhHXnYrxRwK?u0ppaqh^h!Q08OS&e2j zX1WdEwVd-Is5%58_$RqUxI%&uJZD%NT3K6ZzF~}S+t?N2Y6%KESGql6I=}9L?VKw; z68H0d{cXALK*T|8#C%bEwM7;Ll)+C6wx%6MEZ5Dr9|OOD%RC{jzkr$sl2^)zF6)TY z9@I$Yh8gkh$0RSzs1h}ShbWzoIX@465^cVbf-rw-*;(($WIxfpoD3;t7^mgk!_x9K zl3B`#Ol-@MMh>gdc&m|6!b%6AHp`^ew6s4p z$tvwrYI?}gF@)-=Ml-=|FdzKp!%xGC;%ApyNpFa&3b0Bov5Z-2X=MUXTVPVlEMqhb zNqSs9DQQ$XU9oxu(KNI4t}iGzv#ep9uHV95m0v}cPD$#k^59I%r;sGgVQQ76OfPE| z<*c#+_=;C+d1u*kit>UQU~qzE`$6tLhhUKna2Tz2vP6_O8pb?H0^Qi^`)jGE@tY^T z%Nt4E7U9&`OR?90jo@{#2kZmyfseqqKp$v+$JQ`4ElY&B27;X+GSu=@|2A@@yb&F0 zie~ss8|V%01nNHsv;i4lEKrV}$M6de+-h>L z*Me=}a7o6@Epc8`j>JY83GNEgA!`c`I?sUTUE%v|#-Q5BuZ;#MgeZ6uYymLb8)KSC)*+jL42(o}HKLDAy-|yHtp) z1aNA;6mBPf4b%L?v7P*DmoiJWL~H!|mNN4XyPDt3YZX_JxEPZ{TFk{4uVx&mcpoO? z*;d9r#hc(=OFL^Wvnn%3acWXq_gbR+w{Bk@HOxONN5@U1J!tEw;w>F>tC%_-sdBo> zb~sK%Z4M`~OO>{oP%O58ldX-PZIVxE+j>W3fhjs4Yg4o(!oZn`#)5?i|Ul z!HlmK6X51jHK;nYNx9`1!Y<1pFKYHB56(#?Q&Qbs6~~)~FfU z6Tb(_SXpOpdcCxatxglpk%`sK>^D@u__Eck1C;Gda$aTU>{GVdaolmn_%==zYTHrx zw;f*%%`m@g91Y(hpU-_Y46kWw7*i7s|CSCljqzTiY>2D0jl zFQ|>MJrZ|0!uAtmzZBFl5=!eJepz|$B;`Z0tM{lIY6g*b^UZN`RUJx@EmK#}6TX1( z9vM)_H+52|Z^~v!>4=oGQ|bx0xmOsY%J(FA8=3XR9#TF;<_z@2RT;^JQwytc?*uKv zLtGQWL(In`JF>a8cS~K^?3A5#(c?NfS=SgJWe2+!tm2g?SPE83Ry`xql&qJUWgy85 z$YmqBX#IYVZaR(HDG>>#@RWpLgbNe92eIE`FNOYrK~ z@eGMcy5tmp3r_JSG#*;PTA&DAg2`7=f?1M&mA#N7q?jWs@a;AqCtKcKi?CM!U0z*> z?Z1U&Gk&^-WRD%DRdf{hWsxDSt3XHK80!#zTcTNV(;nl|4ygH)ha*fF^v zs9UP_R;dgnnZ>m$Jckc_8qG`V-X}Yf{BzVDCzmxaDn>bcGs$;45b%toG%zA-D@DCj zwF;#u$;oVUA=ZXStK@tsDhG>VUIXT-{uIZt3nohn8W?T6`H(Z$Dc?fk^4e#Pb}RQN z`@u?nVRZF`*SWe&XY)j%QvAl_ZJVE?hOVC}to1WY`NfJmI(9*Hf@R1Pm-@@ro_)q%topIZj-dD8IWu# z+HSLV_bDUOuQr;vYst>5nTI?ir>-`-bapbDaFoSjge}Hi4pxJ;V7z8vXQW|Du4`Kh zKVS#Qbp}attrC&ljg4!`F`~&O(KD|}U_B3$<4;zOrk*vLntJwV%6-&SP>wV;swCKPlDN5$RJh)#AS=nB_bf_&c(Y~fm=X1!tEu)h&^3*Ha>hW96U8_~?+ zz-J76`hGy8^_S!p=S5TL2BBGsJSu}rMDq%AOpuW+jnuNqNNooCDP&JeqfXQVI5oy- z>_>q9xMC6?on?*lDK2#)un>C=-fBL@ec5Fpu8H77;Fz+K)tY{1OVNJUE<7g0rBKt9 zqH5TQohUxniz&i3TILE5jePHjFx ziuUx5sRS$3w5HeU!%kP9YvrBJ_3NDGkU^ZgaSsH}bWzjXMAp|E*Gu`Do(>nuUX={O z{$6q)Q`IWm*fUX&>Na@Mo;`*lNo;J-Zp(o=4YKGO;~Rf=?pD6}}`h`_rGUtP<$=gC?J< zEYC2`t7HP2PYq2mV6L#6ebc9KUbm+(g@O~NH(Wv8oT#j`zs&^Yrf`!!8IP8fa3(N&e z!AkHVSPymq{UPmsYzZbt;tB3es!_#igaH1fgY%EP__S4J^qh%M3Z#`I1q)w^UGS`DprMb+Zi>Qesd` za+j63E=I$|$QmK%bhk?Q)dZb@zKne53Vzy)`x(jXVnp|tk8>dyMKLYGUJ2INw)$@Z zdEgC@58eY`0}WHtIF5+|I08~@T7K$3RtgXwYKzpOtv!ukg_;n`az1WN>RjunxJa_n z3|_htTvi6$_`lal%OQwP%i#TLO|wpluTypR4#`b3Qls{edOy$&Kzc*c`uJYTcIAdt zfcLv}niJT1BzQE>+=!fL;C%9^Q$B$F61S4qz}i;p zIFmtww+z*Fzr@^PG^{(CDE{x<$Kf{-sB$`j2rY>lcn|IsOYqjzE3nsrZD1ex6lBa` z4G#3RJE`d@u*>mgHO6v*L)Mf_ zNIxK;%oRLO0q0CC53{EGCB4W0P7PH(h#FB4Oa#SOV>hZ@FH3JVMn&I9LcQ#U-5cm9 z@%mp8BH#U=C#K2>Je;-s=W*`=t?IH5sIE0BvJ>f#y255Lp6U&DkL2~FMO`liJz2UK zwpc#7)o3U^dKr<|KTA^IyrwMXof;7E3|Ii3v!QFIn!Sc;nzOT)Pf8f^B@nj}CgeV| zH*I;3g!MLaZrZ!Jik&OjQtWL+e`SgGQ7r8?BZ&!#jJwTf;Ql{3)rTdm67@n{<-m~j zOg+K<;2|&;OaQTj=`e8>wuY&B0QWKwn-Jm}034GoX?<8SaYSl;&Lr4($z(Ry3N^wI zq0|0la-^@3P(m*u_g0?^Czp+gAmrwe`ATqJ^PlHvx}7e2g&WlZyz|j?+;3+ z@4tsP?_XUXVSfR>2gkuFz&jUL2~ZluDB5%M2eyW(xf}O%@Dpg5c&3nh%aL?u4Yo*~ zHMBdrngws5Cd_We!|fz`tz-2%prZo6&U1|?>@ncn;^t9o+oi|tjG(^C=&jcp$kyJ@ zs*j_@OAA@;A@w%wolhsWXZ0BI1CRg#I0z3hh1IK(MSp#V2wiNTh;}e1v zYASIj({G*(m8`o_aH!0{F!S72l zcc9U@O_}5nR}|Qf*N5N;_zE}&-a9|k)#Mtc=IkHpn!gRU#hB&c`#asuB-7hhPl+4I zBYg>Sa)qzX%wBz}^~JDIHUU`FLVTlSt0)R(k>GuVLhU58{*Tq}uB7NKiGx{`AAoZl zi24s-RFRCqMr8b4F7=M73F_dj=5zeQ8;}ZQH87`tp>|U9S!p@`3N@udE$ubFbFHJ^ zYRMa9RCjlhBZJQ7@G235a8NFRIM_+e8VJZiP7bC;c9OdHvPZ|%JK0=1iI>^;vM<_$w!cLm7vbotA~4DuW&8#1_=JWlK>K);lvv*IPV_e$?!JjdRHX(zOO+whMmOjFWE@6qZb}KFzVbqFps5lQN)ws7mB3bwS zZ<(vML72BfiJO`f!F)V2?vaBdcwq4V?&WCGE|Ny~GaForQ)8~gehC!z)v1kyX$N=< zThBsLa{#yEa~qbg9?Mg8Pj~ufCOhEj#n6Nbf}6 z-`pc{53ua-e4*1~I{E>6XAH@GnNDr3M}Oo#K<}(gkV9?`-Wn|kxrQW3X==9ay1wL; zgGmmZ)abSLPW>d-Z_;_BQH77G4;cCH6lyVecY$|bi+7YS??8nrX(Nq_20eX<=f~r|U(5Si=8iV1bkR>8{$EWRDN28jw7)=M zU&|}qoCQVR+^f>w?R@;4H2O8bB>eSDfa%RcWLkwts^QZDEb|PJ`1lo$(t3R)BKD&BkN?J0v+{SpslGYJ>Zf zD83EuXRZ}$w$SCZ!9`2X7#(Y}ou_$B-&FIMzM3R>Su!6x zQ`i|KIiJE-H3Jp4EvN9pen<)?8dXYb*}qJ&y24+UR*xDToJv1m9;%5S4>?@mu2}+o z#3}*_Sm`E@>h5PH;tBTfEg||UIWmdmq7t$0)5}GnDZCd0Yru&Vt2vobZOJtzQKNMw zV=}LC7cgynR(ebdEREZ?E0DB^HY`N7v6hO?NK103NX}$l2&YQyR3kb{zZB{8aNTL1 z(OMTR+*7zA-G+c=2=9k|FBk^muOksj-i!`SNH{-2P(-Teg7&$(3HH{n41iT*ySwN=;8k{2EPWe~qtI9#>KMkLi z?W}phdC+f4i`p*9(~O>+7&T>@QOEl&g1!}ZmJuKSGfr;;w+RVbNmjOT=EV7SFG&meIgKW<@{%%7vG*iG;-2E?C)bm% zf$A9ks;pY)NhxMFnw?)Z=I=#1Agbp%*(AA#NZM0IQaR_lQ2MY?eoFQylPY^hkm7Y& z@sv@g^C+AO{q)m#Z2wO`>E~8;#O|t`ajV%uyrbam_M9XD9P@$1&7ddPBF!THh+u`9 z?ugLpJSw>}xrggXM2GR-O)t=sh(0Hp_6UtOL}tynd4)ectcxB%*X$RR?G z%rvaeScOw=zF*_gjaShfC~Ketl-@X7fo9S|=sB(N=75r?jf6-ou3=m%oR`zQDi1ZI z*!;#3rciSS8S8`YFiDwZB$Uz12n`C~!uo8FE6k5-T_~HR@`0F4Mtn{%CQ0tqk}->Q zu64xjQtr%i!u72=c1QNiV$EVdLDix90k$GeT&`bPF{_821f13D!-VMq?%&uNrl#Tb zJU@eV;7j0`N|G{L7ws&O=82kPXLIxEEBSL6gB>=`mg&D(kXtPqX8$`xRk+T! zNA7DJ{aF;z11QKZ2%j-VMYSP`UZ!H-0Q9Z&Et2&NOWgyw)RG#H?Yzo)1@{3^p%Y!a zlXY*llbX4P&!u<{Ncud+*~Q$FnoEbd=a-9;@ykW358?<8a_mQrs@s`dlH6k?YhEE6 z@FtLCiX589=ENB|=K$TDIJzGvpkwEPwO}K76h9rFGHLP7=EN_EAKN*^HT(`f5_X4q z_Gbx8j+7f|L|5ctz7$aND9Ln4ij{%|Xs(xIY`O#vxF7P9Eq>#LaP93vTe1$ zlgxz-7kYUEQC;ENGG$1Gkk&=!6dyU2_~+&p7n*|jZse>x3k%IIi62qqE~KjsBKCv8 znW{a7dy|x1#CDynICp^)tkdn6ltuQBDn7)^nNLLD$Zj4m4(tYM)cv<)5Flqh^*N6T5DUsdiO_s3!{Z0W2dtR>%!^%PBua4xzp&1Y1Txx z2zq55daG!zH6`VFitP%LCIKzB7TCIV?IxM~Jd3J5xYU@pVc!Kxa{%yA$w$w`hq%<= zyiCTfh_{+U>h8)Fun%VFq{R|RWI{*p^p z;L5x30*_cso9z$HkrqwS5^sLKCB7a_rfV65zmX~LJn6a8eYtdgfh{;Q@yr4BHnSH7 z`x@+4pe<+**5Ie3s0z?9HD$W1b2%vqoujpsycJABOd^nRTHJ1vW5EjU zJ^glCoAq_>s7B|Fr+GI%O?fcU7LnIT?1#ZP@EBO-Ls`R$L3syBJ~@}NaDWbEHqZ%0_Ut{$nBkQgpi6(f4HgYKYKY;+$-+*V;>#b13<#dK3pDOfnEEmtaj+Dk@L1 zbA`VnKYq)Sj1OCHub^y|br80;6lL4)V%e%j_ek=~XP0U*c&eH~cqXFlZN1D%{6+Ju z%Zrf=8M(o)S)V3Gob3VHkB6%FKj^7I2n?+vG_{8hO`5q2&KwF=6sbp zgvdXvn(B11N@@NX$TRqpu^WumXWh|@sIX$_ruBNhy4hkcNrl}_qtB4EO@0;DiZ&G5 zn_Gl=H_zR~G{sRyrzvF!@}H(e9oXYd3x>J z@_eTyw<1HQCBsccX1&JS&6L&F(xNWvs?jN;GmEK?SXC~aWR`L#U-MBem85qObPING zkgnGt13yPO4J(FnBSfx6w*+<;dm$wRGm*p0dTLGG;xw zYMXi$dq2?H`WV~4wscbYHU9Hx*Ba)u!-}^BxW^MW9)JIV!^y+v-q_96gju{A-)Uy2 z(6gtRdaWv7iO|CC`Whz}f<_smjMV7tKD*PM-9Amtn;^{5yan#UG}m_OtGSl#d^9{C z%~O#-4ESh%!)eWZTXIpF>lhlSCFi601DNVl4B7y+MQ7vZ-=gQ? z=M;m61uKSy#P@Fi%ket^e2O7(15lN;%{$K-dhOc)eA~S9obfbq%uzSo2$uzI{YKrh z`*6%QH2(%B+5nt^O3wf|hM)f#06*jB^koezvM*CdzZ2hIb5EZTS1q8LH&WDcW$(H< ztetP&s{+0qU9WvR`up?}r=vGOmX2b)6r}Fm+l%bz>DvQz^rlEi0qwx`;0Ewta2Igu zS;Kx8O}y z^4m)K4t8Verv~%0-Q6R-D_P%MAXz&uh=CFf!r%a;zU^zR76xPxNr9JiXscZ&Jw(zg zc%w>}Pq*}`YRf>*qfL4d0Ia5%4vkt{SCu{rH(0Yyc(BF>rVc|NMV^ zJ-nv%TP`J}zZTz6$5#wTH@RM{^b_fnP+mE-!>y)$dADmaXx9&I!9#2bON_9>f5%-8 zx33AK>c`~vwd%e!%pN0=n#8YpMFEzAFM;bDm#g*dR`?aT^|{X1ltNHmk|pOL_7k=o zr-c5Yq!g9y64G$HgvR*Fah1MsL1)P+Q*1fpuXX0b=qy2H5mcPsF$Il^>K)~1)LNYm z=#OSTGsci`23TZcGqy(3Zl?bJrhH+7i0dn7e-Jt0wwy8NF!B@hRS-cD#U%87U^!>% zzd|tu{S#Qw>4J(Zrksd7w4}+uu%fP5OhL6wL50hSDoT#lzf<>D2N6`sHN(5|9jlw| zV<_%Id^NiDSRq`y?_>inFZ8uBYWOtV{^Lh91DexauPLg(Hb1w&o-Srqy7#?llsMpy zkyj$ZV&%j$-ilIku6Jfk!+UsF22#LvAPw9Mddm8_-nf|IIGx~;KEb1R8PL@IQy>q>f z;|!Jj65dGnJc(c6-5;|TpAWzha1ukXW`Ozf-)Ft|C2qs{7SJU5z)A8x?nB@+@D2C@9G738 z_5PX|HHiBzs0UK6K$ECg%7F7UbRU*g>q6EcM92&e=u2UmeM;HLFUmwIQp69?fQ1+u|>kPFs> zJg^IV1bzjjGx#{J+`G)%s8~t565UFYzs%b$aXgokKyh-JA^%u?1AYbW`-uuJ1GOb>J$)_(=XIbXxDj*%w}L+F*RJ<=b|((QJr+y`v%o^I z8f*f)zz5(9@FVa@(gts4VuJ@b>jpFjDd0NL5!?v6fm=Zza0eK${?G>RWA2#nkqjrG zCa4Rp0*yf{8T|?@yW{Ky(!pI|AQ%GflOwNqvl1uboDODy*hhLZuaKIv>wHo8{kIJ9rOivgA7)Myggzj;+zI%fH@!sECws&zgxWT zCT_*~HW*69eu(`UI0}9Ne}a&Qc*_qeff~{+&pSR*CA8yGC3eJ41Kq)G;0|yP7y?Fs zht_+yqE+Ht+$+Eg@*ax`rV)R3O9dmFpkOZMyDq=)u)3EjYJG#x`%NHy`I0>o;xl#=2uVKFmq_pcm)|27=*Wl>D;YTQ}lKoHM~T z7j=j|PZHnoZcog``7(G7yaD!rcfld>HTVG>2Y&*uRNq1StH*pJ3H%2%1ua2a&>rZ~ z{#`+L&Hc)KfdWr z@zx%zJ*v@8uekfI-?P)3;EvgW*E`@aI0}9S|A3MbvCG@La$Kg%bp@yo8i8gY1*B%m z^gZ6oWWg@)4~f0-7y#}AqrpTl9n1pHf)!vRcmw3iE4#f56Mx6~5Acp-ECLlkG^hq@ zgGA5}GzG2JPuk-x?N02Adk`22GQkw^6qpO12f5%i@D_MiUf=6&>;7wf=-b}VkeHq0 z8I`~%;5%>9L=hgWrB{ z(}+IA=m+|Pf#6uM^PEv2Ob8Gf@we`;XTHLl{jAl8^IRv2G|Yu$=vt6 z14F)kRO-+0Rx0}gUME4wW8CN^@qG#>8E0eQ^!=~yWDdx+&UM;icK}_0&ULy;t6xi0 zm-pWHR&tM!WA8(3rj-A{8ymBL7}}rpXsboI^>~Yx^=3+jV#$@$nDy?*dV-dEj;ZSc4W+yO>_N5Ruz1;_*M zg0I2vAX2&?^7cr)?um2dcEs;S&<)%Q`hYvY06BEXJKDPn=NHoF6YsAvr*M{-#Gno? z12sW?&{zf?_ST8$g7byT;e`DXb`N>(u(x~6ATI9%4}*#|tQNPnt^VfPJvB7a{e;y0 z)LT1j6`_x6sPuTHL>2e{Q+6M4RaQB!xaa#j(Cg#l)8~EO7e8OmKgrF#xw*;7$vG#< zI??B{y<~AYPa~gC=3%%k!zW3*l1Acuf;hU)o=;r5Y%ij`70MNRAEW;0|M8h~uGn+B zcjr|<^O^tg*;lUEJkE(>VP!Ej}f|g?5Ei&(Jgncj=!y$L2MAC_vj$|ys zI&2e@Zu2AGAiRq>zS<*FIm=VhSCF&3hb-kdkCQoR{ySv29mLu@_6GLejNt~Rp{r7C7qz7;u7sUFz_S!}|;S1CW=k$YANn+E08xM-NuQa^~zk(miqZ(?XK7!E_ z?a&!Ni3j)Wtz(l2C*z-Hna=pxScnx^3;Czn>@LMznxK|TDVNeNe7^1f<)cqBf5u1u z{XXto3A+2f-CKES-MeoOQj9_4=_!pd5J<)%M2iLw`MtIf?!;dFfnzu=<~_7`_P$H_ z7&7a4LHZu{31k_6=QeJ{*@WLCySGOf!ZHmqUs|sdzdS04u8-{Z%vMb5fNto8frv#s z#$pQQVHq}vxX1Ruk9pU5M#*x^6;emub&J@McRe5u6}KK!2FWf8J+TKW+-B^F-P<#0 zA}>M{$fx=2Vg-i~-y|E$#>F;v!&>*mURN>F7qmdbzhUpJ?>|+filGM<>g5$p&Dw5#8>td~1}D(t{ggJ1d4%*+9+QrVH>o^59o@Y&}W7y9i`_M-^S|MHaMwM z6f>T&0>5Ds_Td~Jz&4Zb1~2%df!OV=hMO^jLl6&nm>f--gvpqW*>D`r7KqR+>ICJL zxRXWo%QywiAw2DBTf5IJxoyVU)l_2`;b=_2G|a{#tPo+UTGQA=*pfAUkn|`{;ZLNA z^{RT%OecH|r)2g8e2Kg$2p^P171TnoSgxs2W-r42h{i7%jv~3K=OrDBiI|F6m?uJX z)lW$kak^U8G|?23zT_sTt8vTz(&vM`hGN z5E>x_EfIzeh_Ft%shVOACr-jF{D#%oh#fe9Q@Dx;cqv?Rs0}`TOrd$1A5)Cf7k&tU z<6|ljM~K)QY6Z4mi#d%!j2Vm}7=ck3gYlN}g<4%vN?EPl)da;Ub-rwes%1YlpWjbB zaaVI%HFBzd$rqIMP?tJU#x%FRE5k zw^TSscolc>7_XtEQ1k}fNtKQ)ie{6U>!)X+MTCEK^}HFti>jeMg3$_X@dLV}FJkd4 z#*4rjs-Lr!ViQl&bPw@M4Yh=F##&l~{J~yhGc$@EHPzw9IKruTO5x)zW)m;MYSF%y z>gl$RFffRZ#UU}WmO9JL0$E@AlGL#j?}^nd?64HZza;e(A+^=Av~muwtrl{t z&zPnN@cj@TJ_{FxYKIeK(#9_JNXQk zl2i~_-5aVqRQrom%EFd^h-%Mbm(y}sl|xkzC8JKO{~p#X9CcbFh!Y{}v?h`!V-ePh zn3ihS*mH!kn3_g<1NRWZa4S3^et~z8MP=u`EE#`pw*R$aXRxwAu2>c@bFP0_ANl@m zg?w5W{IeC?ihGSJ{?_8w>JulAag>M8?9V(%%Chl!;xudJcdD0CyW#=X7@FW)gySdl z1AWH%bh?T1zh>+68%Kr>tP!TXUPB3+bb>eAl*rjm9c#{Ia4FVfGd##lcaa{%i-^o( z*l}Wc(sw}|X{Y*`P6s*WLCzwJW1r3v(uqBQuiuFAa!bk~O15VkD=pf$S0C8>GuI3e z(m^$q9U``anr>wIgOv*d#ZVfRP)8(mB*$$>7>+LJf!>J1&*D)>^^7@=a60B=IW}M$ z_Tnhc;Rwn}zWeHBAL#yK_e!V4u)4wXcY?&?f4n6MSB(wwf4MxZMq(I3$m zh6GFy6@FBM%ngLw;Fx7Ev7F@({O2r-e^lq>e#E5D|K8Dw<4b!ryKEyXB?V!As!-e^fy|1dDl*6kfU!Mxrm)H07;G2VUMm zUKGYxD1%B?uYP1SW(aXxbVU?~Vl1ZPH>}1M?8gbQt-pHFd`+0;3|lhtq6kW%9IBuW z8lwfi6Z@moa4ust2dE*+VJmiknqSWy%aqUmTAj}g6(&P}VBNiw_Cx(bE{5j}_R2R2;%-T*hrY!E11~lBg~< zOI&3mK}FO+Jv2oNgrNgE;YakfUL>kT6>~E2T&zF}_TmIC;Vz!y1F~MDx>VE~ODUon zVLdcM8-(LW^hFGYVKgRUmIxlFR#kS2#Bu80sui#Qmv*6k^0?aA*46eCt5mZE^SZ|! z*dq$_g_xGvK$MiER#tdyRFdlH5z8w+J?;!;m=%|#_ESz;{l@c=M!_4jJR$(q(GV^0 zy*M~QZEXxD9EMRChsl_MxuWVswX(NGxCQdSaW8^Z4ac#@QNg%N%$%rJ|9Fz_s9=<{ zI0_V>S1>*mE|d5cg+$OKwUKA#oBU7+LPG?j1zN*ZDEp}u+%-wRS{?iA9%v3wOc4m^Z?GGRFGb?qbI@F$9_6_>{@9F)FeNb_+lIN)h?f$a*xBBDE zgQ?@21Tp-N--K^w6UsEz!&_<8yg&LjDb^kFrqI-k>fuPBP{quHylo;~nfm zvs8Z%M{&2n3ywV~j*_B@rA{@g6V^pjw1BLn4b7EIg<(1q}OQxvaE~N=a zFgdfX*Cl{K`IlQLdF^?`#1u835^AkXQDYS2Po~|*Uw8|b*L(`tE4HX@jmm^IQ4dYg z0&UPyq--H$>`yot@fZVvxmb?1NWp%b$8~Xjt2)%w-%x^soFx~jfkKe86elf-G6+O< zk+6+3@(vD?u>sp~0H=^9cJHK& z_=eE-j;#b)kpsD4SiY&uZkohZP#+;^i>`>mFeD)vOR-6e-9@4JD&cKB!ZW;q@}A!j zZpaA(@;eo>8tqmi6|)Az-=HIU;AbRYDi&frcH;!DiCcTfsj`crdsWk;#D~oM_G{8I zD33}aVlRi^CRY4j)lf1{1otvpo(LWyJ%dYFEjH~_1B_>ca{IJV^t14iwCjmBt!w&*Mlo=}?`g9(S?SB${~OvP+b;Uv{_>j<~u0FL1t zF5)Wg;4$7Hi>lC!;de?6Gs_TG!hf5k7SkG_8A641irlonxOa-*bt+?KU^eDs5td<< z)%>(dC9jjlhafMCz(jde6VYeL1X>b?p#vh&4Uy<8($1)Te19bzkA<}$)c@0Cqz z3y61;9>8+q4I=uiTGIDV!k<~oF6?eH-<2;s>|%_5wKMOcot*o3WCpFcTjo5zW-;4i#}lMB6@krzHFgX(CCHsaKIb-g*9 zFcET=$)s|YSy%))%NlIP9vl_c1$8m479B6DdyS~9WCW1){J)Zp!&J-_H7}{Av59ai zWIcZ>X+PGCY+&6d;xBR5bAeGe@E9*(b5(3Avf)eQ!%1c-N?J;EPg5IsHzSN=g@uyl zCv8L89zWn_q0AN8Rh&y>TNo+aE~|mY0;b49=wj04SPfbEvEFKbSzYgBT+XK0ZsRY! zf!&R519^mbO|5G95tc;-R7Q2wMm;g~ntIG^Ll};q&>!;0{e^S{5-}Nbu?#d7U8mCE zJmD4G!UM=z(n(+81MGCxc7;3gh{`wAW6p`$7167KJ?G?msxC_0R7=^DnYh*pyQ#V; zhK=6buE>Q#D1iXb_2`xwX|y72kIslh6k-uC?6=kB=5)fjSb~+7m%~$CjE4l0t^?ySNIy`M87-g;zFT>pZ|6HdmKH`Wl1nl87-pjs!faw zjK7TQxQ+XGjHlw_T{Xm|fV*P56he{Os&J25-X?Jjk3@OHyKoL^ z%i~g$M#`GRn(Ys!ecFI}jN!}%)amzC&x{7tn~ZX_hCU?z?+vIo?yH?mw=dad@%b!y z8CMWR;R}D17Xu%t{z@yc^nqH>=*O4=_!+-o7=FcQ;rfu$%T6omp*m18zvgm{il~VO z_y%DJM|bpv>_ZeyI!t&zRwK;Cge$NPo3ItBkbQ{uksgBVLv)<9qgeS^yXXrGJC#A%YCDT` z9!`s@PgUb%tF6VT|K4gF{Z!5WvDNl0Fa1}m?b4^JckJh_wl8_fe{Hp$khw8tp-dfN z>qajZSuN}6fZ}MxHF8rth^K%=$>Bd5aTzcFa@jiDdh;HgMesA#Td5@aJ)^R%oml>i zlV3-pZX|Cc8+H4TMv1g%>P7n*!kc2pbG3=fE5ht~xC54W^j!6@%Mw=+%l`#$V~l0= zRLsUgtiUGh5OFWbU(XROq`sl}Imo*4h69vwiBUK47v8}oA6XX)h_dfE_?988fGVhox@d%ELcCMY znLiNrK!5y+flWviGvBLm<{iR^NXJXOgHwLRrXd^LksJ9@STy>eJ~L|& zHbe`AAspS&7cq#(1SDh0q#l~Ta%@tv*4#*E_yykJ1Dp%+F>n>Gigw1{ny{a^rD(^D zHH4e73x{wPmvKiNbJ9FNo}O07&F0`q=7f^M*;$M5%STuYen^X;xWV?~XqBnJxKAqy zYIrIlc_NJ}O+~7+R#o{$C|NXLyX@;Y$MVaf(VZ(>K~_KVqbPh)8uW#*Yod>PlV zy1Hs7GAqPx^NMu&sv=|#$PXV8>ZaBFSRq!MQ4J7`P=tw6j1M7V1m}+V$^>4Zk1`Oq|`xc1XG9Z{{_b zTYlshS}*e`gXbVm3T1!dYsB}E4tY}ehE(<^mepg0g`2xp+4L$#W?47$9cA-UafVBx zH2!3K8Ulzj8!G#`YZa*cSnjR`IVnR#dQNSxvEEy;ZNY9F#Ti_}EpZ~3#)Hj@lY`+4 zc%T3ZqZoWq8s$+HwZ#Mv&0EP~ZSv4Km2561<`}s|JQ_NP#%>~4Yg1g4bc?epcTFosfOn3 z@-w0Q`&B$Mv`Cko3|{k+HB~+GX=9bF;$1%NkWtZ>LqF;x6dlkNeXMKwH3}%Bhy~_j z4N`Fw*YE^RC0Ii!igIG4Cu^d;wbD~7q_|yV)NMJ5y*FK)FR1w`*@SB$E!4em$;<+> zFKKC16uk;*LG)y|3TfNC*D_`UHeoZiVJCKD9}eQMm|j>5DXW(HFWDTKn8q{t{wbF$ z1tB)o=)c!qb#@-@dQtj4&%rm^gJ1Bk>{@`M1K3Z4Bm`FSW^RXQ3u?2g? z$gi|u<09cz+`|fwBWoGqA#ts)rg2HD>#lC&=dVlal{S0rM*KUsDc*YQG+lD4H1mhhOCUAR6*Nh zhBMe5eIff~M3cthSB!0TC7bS*C@sJfMd1rS z1fVi%q8^$el#WMyhbZx`s@6XLEXK^mBCNnV{EqFF6yaA#^$?@0X?0z0GDh}?Nw>~d zMl(KXA8SWVjx6Rt;-MIid02x~oWWhZfooMV0hARnwaMyQ6ShM* zx}XPoBMLtw4kM6&aiU}$ElxQnmehF}Kt2?KFG`~#s-rHNp%vPT zQ}wi|=5WFUBtc*%=3y~bVm(r@1AE2v`h3c5!pC@l4^V4R6o3Z`peRg~LlrT$0mYf` z#oh*5igHj4ZK$m_l$xC1Q$myNlR9xu7$_#1G}5k^)d}mO3EH9?24EzTFbOk|P+j(O z3u~;!=yg%=$Ch`u8BM^)6rHzFliGdu?n%6I#`OLs;eBI`-8##KU7dCh#R z!ba@G0UXDlxQ-`y3tjkzXf^5H*FA(1%491cM5C8SS{;@VkMRmlL9Bmxh@#EOPD>E_ zp&SBH4Yg6|$BR>km z8ztZ;x`t}&z2gZ-Ara#+0h2KmGmwnAR_&HDUvsTTc>?)S6knknDvQWgnrFu3W(+ze zxBNJHK`U*k*`2{&7>HO5M*=2bI_6*zR$!xu`Ighab7JMU8Xc7w^BV79t54YmE^y;% z=GuPmaKcXLiXRb)-spz`h{j;?rZwfQ$-=XZcF{P^m^9qNW4wgYfSg0*4AVl5B7|ST zL|IfsH3W&cFf!=YgdNZgy%CLA3`YVcAQ_9XMhyH;iwr+Qcph?=tN3)5I}AU-bG(Cd z!_1O~o9)vN`k!-&Mr|pi)eytmYAN>FyvH&T)K07Hl0vu-Ux?-Hv^-*VJMAm`d4?Z| zL+vzA<%77_PBUl>w71t@8NC~kFXCs!VFVH|PUPvpiNt)u->?MBu?lOkLA39nb&uUg z_y>;SB+lXjF5^0G<366?IpiNtQQ7UiQJ&S^UQ>F7jO@1DjWefZynz4YtyDByU;Y*5 zGP6ol!S%?PvjpRtd(q}lYHeMvQQ}XC>G!>J|3v_Cc%KGK{ zq?tV<4qHhP+9Acr8cZgPLhykfDx#W*>a3MCS`dDR|D2rCSzB)QXHpD?ApzqdFcS;0 z3~R9&sbW$W&E%9lwTpH}nQcw)s&O6uvt}GgkTrzmfV?)U1`3Mm-L*V!6&cl&LD_PX zO@w#Xs=GC2R48Qg7bkGtwP3}#!tfnD#tYcK;U`9Rk*5dQz3iG;j6q*~jk2hSs#dQa z{F-J6aYyvTV2s9eEW;KYMj9T%E&?O9NV7t7GAdL@ZPY^}1fw}xAq*W5Ax=eV$;x)o zucubQeu6RA#p<5gwW{tdIBdcPWl;qU5ejFD2N4++!5zrbd8A9Q8nRV(BWY%FiG9y2f>i?IgVaae5XueDNM2=^#0 zr?cMb@6)IsqqG`EXe-Wv&=wsLfvy-Wc1MxHjkG>QQGESu-l|GrOAM6dh?C#4-{CqQ z;WeCE%VULSH1&)=gr(q*@~CHpM{`^-8xprfI3h6!Log1>SOg0@aX_s8SqnDP318wJ z*e?KE+Lwp<@JJVstooJ_sCRy!?Oa!7fnp!L4j!XEBmtUo5eo}}w^e$_(?(f%T`TMn*jc( z$3~>$51bXN$7w+x>4Y!w4o*L?w}UFkByG8OVZxFqk7@{l%;6i8hN2z1iHk{EWv{V> zvbn+0%*V#gx6Hf!zwXF zo1vKV8MO|(aT+)A0(uvANt8e(G{E;FeX7>kdotm4%!cfJx`1>ER$?7CVXKIp#tFbB zYxOj(iL-E;r4_SJ?8c5Id}e80?wxIgZ3F-QC-a46qSY+zjp5Xt9|5^g2tM#fpy-~g zg&84)-=Zxd&<(x7#bL?XS~G!g0;XX$7GfnVY{y<4#z~wP+h%Li&3A;(Ke7QK2Rx7; zMer5AMmbbM4G}+wV?al-c8=D|7|oa@Ou|&m#9aJlRh`SL%-6(DJ^1j_^eZHFM{anc z7)qiXsv<~Cnx~o0U3w_u$}>Hb$N$)~SOw>6O%;3opZH-#uLabdx3I(lt%+hRWzyb9b#yD#ivvBrDJFZW9$TR0w7yxYbyAGYjNFYwIE9P2j{D-+GS0}e z^yb$@cH~4}c%q01SWZ=YdBSR_i>3%g2XsY$#9|aCV3r74p@o^-31zq5-J}N~Kj8>Y z;vCW-k5uxe5!bClE2!o&qWh3(Vl1X(A=ZHs=_(fTG~sz%#tq!XBRsXvte&=ED+V4TXR^gb$Gp_YqvWNBRy<{m9#p4erQ|{G#$&?T*JUga${Y zq9}z?3{Mk*>$E`QcfzgMi9L{gs1A@G776RvtpmiCb=rD++5WQ6QPg^^tGyLrA8}(n z<)AT^+XhZdjWkBx#WQ?B)+kC2C@N}O+CZZ!VGx?21-?TkM2b_EcFr6@I1ab?F4IZp zV=2}m1-oz*e}aoqHfmlO9oJ=7204qoBwx|x2H#B-SIUUioA|ol z@QRCUjx9-VkhURhhw|3aP4cU3Al`<3IF9qUgQw!k?^>SN&+mcoPrZk-4Wz+?6T+V$ z|D0_l>73M)&Pi@I*_Eg?)Bm5k67gF3_sN|Xit(26t`Gn8u^;dHU`U%o!`odgNM9|eN;5ZN=(OmED`m#Q(3fwa1RdRC{96E`Ti*uY}Y244;g#`c{1ws zGy6KS!vmg>{QFxDu+0h*WK;*_5y)9G7*-?icMD{sG<569W*7 z5yE4a=Ig$Q(DAb6#NDmnU0QR+@Egnl0J6hn5NR;lfL`#swI;?u!dS=-mm^3=V?2cL z+M}H^ml3YVHtfX_oW!5Fg8O&@Tdd5!i|*Abc*>XfF<1_Pm{vHmlVwJ6Mnvt^R?<-J zvrjuz+4jr7=N~%5vL>NEG@Hp1bt38xS)m|r`H-z7OG}(XBpsjxQfI%mLNWcBtrl9K z69yp>3$Y%%Z~|BHN)$TCshb%`ixeuL3S^^LO;Y)p{X!{gBNlZT*T|ZFkOQ)@i}(+m z#06Z(eLS_&{@@T4>pFyQ18)SN78)ZA-4KO1jDdjs)2PV$p2BZus#cB@S!(?bn%E6RxV=(>i%rE2kKphq7593NaXl(U>T_j%wA7WrVA-0l#A#KgrhJP6EPLZSRjWxa5K@=3^y(#~z#%3(jg0 z#zVrVcnjxW`8sfiA&Q>U4w)ul0BWECLeL7|;d}gu0T_aj!t+lp+FVAs8k?{cpU$$I z;r%#)Kf%>2e`+P2nDwtewfcrJlH3no@P;q^P*yZQuidauAWRljFK99L-wD&iwhL?> zZ>(Dv$n%XJqo^0aK*V7r#$k%^zC5{l zWi-Zj=!QWUD}pX-x6JK?`*9rSaUBov93P;MW?dpbysg$(v^mPx4T)Q$D+VC})36L% za0usd4?MZ_t7}?mSzmKa>*dlmk=elA{;p}ayw4Jz$0c0Bb=<;TJiue5TWQy+=d|}4 zBlFU-H?_USb;5gig4a;SvY^Nza^BKv8{UMzFi{5OQ5n^($XnWO#cWRe1NtEzQ?UeF zaSYe+6!vlKo>s>@8uyWDO56sW&tBz7hYf2z7*^3X^ZIO+4jD6$9T;&`*`*f6o3y(qr7PUKnwS6L>P*) zVO(^CS{PK5%oiOQ--O{Vq`kzw2U=8xNrW>o7mk-MCcebWSCVeP7VL!lbF*c9fSCG_ zCb=$R(?hMg^4@y&kTP-wM=$VG6W9*ic~3Zcf&Z(&x1&D(U;VvzJf^7lX+yzV-oR1o zpJgJuL6grL3UWNrD*RhRfj2Mvbe2yW3Q9380P@d6HWcI$zXf4yv_m+$paf`e=f0tVwU^*JXAhjzkPbViFc$19sss{=`kB zi)U}?n3H!JD+Wd2g9ZVdL6LU-maC#k%cC-CAV`dTr>*y$NjMwxun>!}3@fn)>#-58 zBI!LfT)({6vMEPJ;(M)u{VJoMTj$@CBN*+b^C{?!K^TTHm^{f-KV&W;T8Hh}je|IX zQ@Dg1c!W2wi#&?n+Vq`4EeGT*Wk?;f1P}+J3TmQ0nuwW-URC+gIJ%7Ou}@`7qzqM zrXd${3xjhgo%W=}xRZD<{tzRx>hH|Ug!l0RPID+WAUC{F3KdZc4G|*Ny6UlJ6yeVp zf_RKZ5(H*o4&?nGery3A1= zb-HdgN8RlI)6EzYGVU&$M~q2PRZ%O4o|jG(VL5a&XUIIcnCHDXBm2c>yV6NE2J~{% zxr951{)Lm#ay|tL+DhAz%6lGmAw5poOPq7peT`oklufFMq_RnMB5CF&JNduV69VK( z_hLrLlje1#GC!UUdC$Ym45#7<&f+TMpL<4r>|Vm9TE=}0OS?4U{F?%y<(yNe$~bue z^>O-RLIoDqw2>7K@8LV2T6c^Q2nCwiU`KV{rYk(Ntu73;c?{0;>nyXkwAI_^(U zg4l6O!itjDHEo@2Kia;w)_dra z?2Y6-V{R_u(_mXn;TGN~59f^2l|Fp5v#pP2UXA*5$JE-^U^yjW)kr{tietk#Gkl@_i$NG=@+FCXvG%QofYrR z#M`hFyRi=kaTv#N5@*D3#q?`Nz7!d_f| zV$LF5g0=V^dvF-%a19Ue9JZD6;9k^6pJe(G2B0!(pe~xAIa;FwBG4T@#SI_5wK0Qm z4&*{CBwd0PSZlTVO4sNfNUW`*P>g&i4nI^CQNDV~kJ)W2M#+=*??^i!LZtZW^Lz&q z4#ikZ!Cc5Tr$wZzAS-v|^`R*uzJy-Z>l&j*6z2ZlgUQ=T$Kf{Prjg1<@(0%W68ccZ zh+EBc2+YA!ti@IlRf=Q48Nv&=0$HQrSg4y~ODR_DYeuVU*z%AM#bBZwYN9@xAq<_w zd6Vrwfp9#gU>4>>&awn@mQ~n*El9P-eyw*`j2dg%>CqA$@e`skQsgYHw>K6LF2O3S z$7ZDBphzgKUo@`}KE^Y=N0xOQtdI*{D1p+bfGT2=zaDOOA(XTHL@H*A3C(n5tWc%j*>>saaI` zq9+C-4x=zZ6spKZx0G-d*5h}`%dF&WsIQ8MiuxP#Fr&`kI-cMKKEQP&1t%0n2~=ed$-=*q{=z%QCJOoRKwjiWL3p7Ud{9C(sjQze zdJy(Q4B{~sQ!rOluA+w;n+Ufe6$fwxr*J_muA=WZ9}~WU)9-u*D32OwBoeCYjf{?jozNXU(GPFT55H>gWs1FSoMx;RPS~p)4w*I)cy` zEf6NQ1?ip57{WNnSw_GyOCs?E2+Y7-{3e>$)lFruh^wmy{A*d5;#iiO#1F)gy81IC zcpLd7!q5?&5s7}{Vm-aRIf^g|Qy@FZ%_5zP-{9yZw~{!clbqGHzV7d2EZxpA0Vznu zVVuS#v9=-G_cOvbPsg6zv6k4PyTtQs@i9PQ8vJ;kF& zdV}_p2&X~LG8>LrKJnVVg0mos>s#6lal!JBSwho9e9$TPh_4WJgZqML`r5fx-F?vm#+l)I%_C zQr2xv8jkMhhgisK(`h1V#!2RC1~))9m!y!&S+5XEYty+IRWp6J`IN!; zP0_0r}tBYQtdSGk|2E*XkT7MvREKe8We_I}wPyVU4n(9}ozgiy+Re5xj?=tfL z^IbGsS@o#!ZK>xl|JUrxc@NJD2UafYHPh_#=VFtF<1k2(HP%A6QZ@=-Rw-*6VZ?* z2YD&<5aN;e{4PP`gkKxoE8_z9^-PwRy2@fh@k9T*2i)g(2}%($ZS)GR7kIgoZ9xZa z!PztL>lQ8zOTJ$T|!!zzW8~8nUqLPE2R@U$IJVnO5YrE{!@*q`5qiFuBjZsx>ll=0w6fuG zlL+ggFE$S`>NMn;)FslJxQ`dG9p#6>m&iDa75-iI5VJgk)gWh)_i>Z61TowMa+cyL4T3=ZQ0?&3MJ z2=^Z3Ud4{Hr@;>asEnGZC*pcgn*WY496c}qLy>@qn1v-+hppHrl7G^tnhyxm@d_Vc zKS3b|?#KgA6ooJRtcXZGR>_w}ET5xvhx9SLUT{B1Qm2#ReNX+Xe|wNpzLS~L3zHTX z)qClC&B}y9Xohbg%eoy&yFlLSFp@MHBakG@_SQ|)B6Qq5*>MWIg>k8H^nUpGD~QD2 zy1#N=Z0oK27{@6tIBtq1FXooZ>U2u(b02!^CycIykJ%UE3e$UmxDN&(M%?S85A^u- zUXME%owlE@GIEk(jqj@$QH-jm$-~ebZQ41jSGmwGk$+Md=};;sE;MtrVdH*b{1qg9G$qK4s2wl!c=`vN3Te z+JT1+XQ`P*R)h2xsRQ+T89if1GC@9w&CxT~@gO!?6dT7h*~}Mwm?u7k8+Zx*DoX<&It1$#Jc0-t zA{g!I)Y^iywUwNxNAvha;z1aRDOd~(yKo#=@CfhW&dq44U#NMFLpT~izGXAgjJG5X zC2oWE_yJw5Gh=lRZWTj(19$NlFJZebE3E8EdOf2cVNv*?Bub+^DvO9DJ=JVV*a{ud z89$*nqA(c4F%DBPPlS%wdzkwO58*h@z%k1O;w!j`dw7gz;?a1HLmpPq3A(S6(RQ|0 zgiO@Ox<9+Yxe-PEqL4l5-is#_buT5Gm2;BLWr#jEITWE9>LVB(&=q|#7{6jHl0~D* zoXn>Z?!zG*#~EC}72L!LN=BqS ztZarJol!)+!=NlD|3&%=vYh;$RK3e789X4*>O4j5nVd~lw|dQ_A=9|e=x2BXr+e&% za7P~Dm8>^0N)eVpc~nMC)I}o^pR9X-e7aJ5Mt$DTPPQ~St}2t=`#Otr$$I^c2YFT564I5Be@?an88<6)v;DWZkMhd@Hg`^Lch-a+h4ozWxHJ-p z^7^!+vvpN@WSyC<0_4LM<0Md#_&xeY3D z6*NFge2+-{j8T}3xmblQV(fff_}w79hbMS}w{UvMzKrb1g?uQC;tv%OT|@N}{TJxj z6&`1|Ku^qAv z&GzY1>|^TxT8f&_Xs}(D}dg2IVQ$3^;Hm}^qpFi$QUe2HKxHGHJQk_fw7ChmHK??TZI4*)K1D8>8_Kwi$ zFMfAqgFA8~zwlYEpD_IhE1(t{;v2LsSb>jw7`5e6z((Txd z1CTAQM@UcNoMo+$3pp&Ec`yOfF&B%mT7<3AgN^-!hj9XDaS>N>Tcobix0&wL zdOS*R@*hSLmMTZQFuWm)ItL^ zLu;{gt=_<+7h!)y;};A^f^b>K0?sB}gq2v2%}B)o9LHH)#U0SgU>$2Q?{hLT6oC)q zGq)CWA=5x9hW$|nXKozM{O3m9Vf4`w&f~loQ!Q zUzWqk){`4i$&zs*%O#)Yn#L=3F{V#$3RGhCW23HhM80iGh+WON` zwI6N2auraW!14Js_QdUdQ#>y~GM;yoICLdKGWsxS3ey zeV99M*DJUmV>H_hOWaXk;)t5xU^>h?T*oSbYX0#FTg5iG_YVuy_&ls($Ik@iG?{ERs9>=60l zWWw24f;EtLf0Fn2`<*xy2XG2$xFfC{)&tztDHI@_S%@Dv3fJLO&hj}z?Y3E#akbD4 z9TADa7>yZNj;%O^>mvOq<(fRA>@j^miTiOqr}B+VYAfBW(Bt|uC*z&n$!1rbY;MR6 zFO)zz5q^pvrU~IUh+~Ock$#7c=p?qB(&w3jES#G{VDqsGPG##(-0a-LBn+viFuQOENd@Y)v(SwY}j0u69vn6R5I^ct~`i$P* z$!(0z#)8A0DNfVVnC?ZZM9`m<8(uT^J)B(lg3YK^Ce4cMmg{-_mcrdO(l6*iN+B!o zqMp;qP3AjgU7c*8XD5o4X{^l{@hDCA&Uh}i%t_@9P-pV`c+2~; zoI-hrlG7S;MbG7A7;a9sq9~0@sEej(EmmIBi)Qp0=)<7wGcbVE z(L7K6(={sek{FeYC2*RT*oqaT8%6AWy}Iv3!Z;Rf1mw#dJv^=w--6ur?~^_ex9;oy zuI^v*``cQxu#>H#52%ARjx$E~DEgE1(;h`vMao0HcI+!gzlU>9CmWl$%?YA84NnV^`wzG-t#&6e69ap`||8( zKl`%wUTg2oJdBoyZ#%wE9cktr$3bkJ2k&tFh_)2mbv$UJ&vfND0f~>W5!=863-42( zcAW4$F5@b0;a5CH8EoBHm*Ea?Ip6{3z5mT9-ToM*=z(K%+lg*AFK=O$Z5}!ftABtY zzQr+|#5r8V7kp?{ndZY`@rQ?wd%YvwSu+uf?&yi$NJM`OK&ssS8*LHR6K=tF?870P zK(So+h#G*~gb(oycD4ChsEK0f{+PB1O$l3~9l{WeZs>)6NX1CJgU~ld|9L-9Yc|Ykr;#Vn21{P;&rpWi8p;>cvigWUF3Ug}Xq)Oo}ooq-Qw2Jxb&M>dcpQXSga!mU7$8iI4Q++ts`fO^>GVSo+M}^dvlZu!?u31ij0}v%TbP9fD8wpk#8x@NP9zy^ z^ElU}Q{8HIhBVKEcaV^(+u|;hUd2t^#Y4+oJ0WcJGY!~P;|A{G860UT${ivOB3Ab% zY=%|{L@+ucLQZlJ5x$9pM`L(74T@3uF&^s49|P&1i7~R&K?Io=S#(DcXYy_7!LN!y zv_*S_APf=cg066r3x#Nun5k91^S&Boa1RbW<^Md`8i&h9i2ZYOfXAx;T*waSUQM;NZ$P#Da%UxnstBFF>S-G;B zn5ozBVj`%Enw;Qxkb0w;46QDL^p1of!)eq`+66J_j$U#>burf%LYRdyn1~$YVJ7Bb zA(mh{R?3JPtY~VKz4#i3@Ez1BKj0M3;Q}t>AM)E8;;yN-+*wn!a-ck?+3;Y`+cia= zzP7QAR)lTXj@>wb!*Xsd(N_PNQ1!l!U!Z#5!y|dNmN0ZDZ+>aM?GR*PG$x>yZ0I7? z{oXxYggei=&vFr~S@WFV5Is$L^(Ho&4o@^k5JC|tC%Lk^CljV(2!>+}CSZyzb`=(5 z0bwClU^O?vk z7=mx3X(ozD;u!RRdQW^`(o|&004 z)fQ1azS&0m377CQu0cJ5;ihF*Z9%)@93LAkAC=pmE~NW?*}M+x)O5;Ps{QHbWbMQr z97L&{Qb!y%ej>aI)$UZaKfOy_1}9(k?5GFT{k#hAY{k`4MkJlJaMa` z*uZ7D7S!7!1QCcsA_mB!My%|U2&Y1oL-R;yp#Vcgcd)SCAR={D@ZJ{-nL z6r%(@WX6+?w5q{j25QZ7B~@psbx0ecF?`Wdr5uB3sp7@Fa%$womX%6w2L9TJ8yrYS zVl3XZ9Q0zZ6Z@3dwx!zFP*#U80uTmUtrHih#%t=NAes`XiX1d`QlP4R`ZL5JsPiH9 zV=s~Gyv6TEHd#|K7fY}jo3RrI@EzRv{ZEr#l*gKguD+l8|pc(vSyst>k zjUtRg0+KKYLopIGMY4;v3+C3}*4A5pRe?K?{13775fbVb$ z>d*Ygbl7__jkQeU#a+Xm|3!U%Y%}(D2QAZ@iRw1`C;m3nL9yhp4~K9ZJc*zM2eWUg zP!C1tZ+Hq*YxYj4D$81kJnJ*68`AkT6$8Gc6;HzUBaZT;QkJw6Vvry=8SLNYldYbB zo#(+-K(hb*BWa=Iqs1@!ZX;k zW#xkt&eE?YsT=CbfVSe8(SfiNVvvAjq+vuWxLm7 zWdG6bm3*oV`M+|rSM|stRYCgNX0LL4d(qtVTwZA}elty#=R1h5=68ZA23wlHDMC&9 z8|~SsWzY;>5M=$Dw>U|HlT5I_d+64Fp)g2SeA z+1x|eBH@eHcoTDzshE;eA}BPa(3ysz7=f{vh`-455h8+{mKt>yF0N(l?d-UIul9n4 z^!)(T6Lc1BP2b48&LUg?ot!e*c4YNNRaA$I#W_-pGkJeSya)Sn5QlIS-{S;M;jCQU zg_32JP)5ZY(9r;m(M*O!32AgBj6o0dMn9w=6+@7PQ5c6DX^Iv>#wx;f`12@#Bd_u( zMRaeI>CvKCH;1u9gDdKzF)+JSE zb{?dSL1UU&j+yNU!w`+$7>JR`##GG0Vtjx#GN~IS0#%Iqj?NQMHxQj6J&(({iknac zySt>H%8T7NZpK)q#fd?tI!8M(3NGOq?%*+OBILvFqK9jp2v!j^K?}4-JDD6WN{u+e zz8HjI7>B$oQV(s?n9O7m|^I zQFsfvn1e-FhBeqM(|hqLCkW4>1lMpI5Ah6kk*t5Hg*xz*Q3>qgBju3U;$W$P2C5V+g$$VjNF<1YM9?xO!!rmG#r~^;w--m*hdL>OeIzymd>Dh@? z-3S~dC-o7Z8p(7HMi$0mGIB8s3s8vVSdERcPhSyS{|Mo6RIYbDMYno|OtJi1U!niU zLHuM%U-6^qq_iZ86?#DwWk4*)8f?Pf@s%9aPx!l@A}q!wTtNv1;a}ype*ET-=`=-i zB?mR2!vj9>LpwyGJCfzTBoS}edQgvyK7g5ek=_a(Dh@04jN;#=J|hF=+{JSjMm z)|IKu_HY?Ud# zG=FxIlXrPHQ^c88AMZ&ANgU7Jx!HD1;s9EAmqY0rOIv^Y|sCZ{(JaIo{ zU=$|H2U#N1SV6cNYLpGAJjxcj|Bf%P2M6Wc;cNp}<(}ajOxo}yY>E?|ETcvUnu0Fs z$%cZD@fp6retak8NYO{XNq83z@D#SaxY!Av$UaIG8D50V(HiX$hG@j2FH(?>5f~>+ zMu~C8V#5E$a(sj}*nmy=6rW=|zQSHh?r7m+GA|k~w3AQS%a^Iu%uqa97u!9$2=IWk0Ql_GbB_HwI%k z#$&3yH;(i1ibb@Htd&@gB2<$Rn1X1 z@J2JVLLh==(j*SSafAuzj|_~!7)-_tEW}c*!&W(JvIsWL67J?_c?v3xwDWYA;9O4% ziEQSjRLNeU`#NsP6O2LwRp%+nqpRzR#Ez|JmYqQ`^!( z9J%lacBvGWP!GN+zvfd>2mb2OCw;Dn_wL0&>gba~nvOpoeMXWeaDR_bqVhfS z6-OWGH&3)NS!Cusk?CTmg>&CPXY0KK9B9+j6nOZudu}{GmOU3*N*&A^bs-L{T6EiK z2Z$WC{V%$0wOI~Mny$@!(aoys;Gi|oCcWt9h6M+~@UhlzvsRxE@P>gPguuaCA2Edn zlX1x4a~!oyRY@^Ln=mj=bIi=pu2750i39^D!AXrEKXiA{bBDdiVlOjvCsp&D%O$0c zP^mF=es7g#53Dg*r9XF9-DWL^F>;ZQ*(iWnJIXcX1&1_k*PGnxO^cVs-s;&M)THI%_g1N4Vr}AzRHChv$)16V;B9y>^*u)4 zYP19G{Aop{j0azP>Ou8?`9Iz&{+j;kYn)@;8*paG>KQPTntwAgIsd(vi32nKD@~*)2!#J${;o6`V0~PN(J^|_G&((>9W%z z?G0`}j3mca3$hO<=8RYK=f=bs`(S$yt*L!ydoONL?EIR1Py3eE;hnS=OrfcLEBmgm zjM3Ge2N?f*&+^fHtsm)c--3@)zg!?6=fgz0Si96LwRzEHN55!dH48%XBQBqOVI|gKE7af1-?QYI2U%QWrB8Vk+ViGr z_t&6WOU(zW-&JeBZPmE#7~J#0%arW28|LfgYv!|DGBD?Ar|I~Se;4Vr(~8X{=AYeo8NoPejPfDW_#RrM)?14weRV2a|N8f# zav3a^k5(^?E}zTSPpTKgj9^x$kkUe&NmU-}^)pz5*+}DSOtMb-^~2qaDHx0_ zjK*7-hJ4Jy0=x(H=fK_1<@Y|TDXR~6WG4NspX0;K1zM+V)>_)_K287pkxvuj> ze^#@CogTrj(I9?}GP8 z+2l#u8d3XmLRo~wl^^1AwE`=^1@P=pm+KU$;mOGg4>ZeaQniP#>IQ|ZypDXYiKdDEn8h#gps@$>H84i>}w&fkHCli*_CC8u!`w z)KrPmzm)7&qI}G0W49}a8Y>g!a!s&m&#rOr?jYKWuG>Or3o)~9v$lHIZB5-BE^IBX zZu@MD3bx5@@9%O=QrVA{FgxoWg2h+rOe{?Iu2j))vJ2Vn&F)&wE@^vl?3Qki3bWa* zLD71U2|iVs6WyVDNJ+4GmRrd6qc=<8VY2M?r8mn2Qjd71eA(5g>WSW&7##nQ%hed% z)!XH2>q*%e8rOr+8#P=m$(c&5xRb>78SZl3kZNKO{t9r+lfE-N(3BwqrFIUH0&(pT zAQPArw8P68kwKn}9Z|*+&(0k-iLi%Vt~#J_5<;eE5~d8lNwk1OM1W8{;;HhL|3q#s zmdGs_a?it;KqTpFsg0Dcg+#{JiNSVl%xLLyP4nb@9U3{JC$@MJ?@(f!H;Env znnc3VBrQBsc10N{Ju7!j3_ed$;}Pnm6P?hL_HAff2q95l4gWN;;(BuY4A={TA2s9T zep@EcbKQ?cZtTMo}u5>G-h~aeHYu=gPfPZGVm7o0DJ^K16wUf?MP`!6L-yH)G1g4 zegn3P@FedJ4>TouX6&uud2V-UqlG8=`_O=DBRG|McHR>jFj~_{`#wL7Ski9-{?ZUS zMbj`P=BHs)^Q_(-QF@rzQ9g0JTJe{0UB245R2pJT(KNbX)Q}PRX*g4K-pJBs5cz|=T%8Mcgb(Mr#uy~XhzN155cU%tY-NWOulL|WzR0MHEeV5$1n1`jQEkcDr0Zdq!p7WmNJ+9*7Ey7~^tDTy^|w!S?=i zEKl-*h}z8v$s$JFD2~^FdQWhb0InNr#`pEiIuIUcmetGG6cPmDb_SSJ?g-DU{qe@n zp3MFJD-}vbD}5R*bH^5`G&Ud{8Y?|Pza%ESLH2?4{pYww5;vUVw}@L0>?P?J9Or;t zxAAq>B zp6K7g15JsV{?+4ME`hjC*ey${bWilJp^=rwyIi*tYZHwnELSU%Yo#ao*Z*s?q$-Lw zt04?qkH1W_zGqUq-81jEc455{(-%m~tOG4-xLjjA%@4)|k0*8}kTt^+PwK(YxYdMY z8qUPG*9;+PR1)|Jw3*;??E~T}dom7&2bwZ8$%u(G7!dap>Sc-0$&>MWym7lH`}Zp& zBIXoLq!yZQnph-}*nmz3%T-UkLt}cRkX=Ie;SU8Pz%yVjSOC(&GVl(N3($}7B~9El zPtldYM&N$hjElwZ=}Gz{JkXS=iS#B?AZ}zyvqm2FBpr?jOC$CvP%hT&g_3%gC-v~< z6BA>KCN>Fui=MtjVi8c^;937iqC4|x&-U5XLUtf!ANU8z;12dg9trPJ{u$bytYP?( zpcaS&H-H;K3(y9115%y+@Fh*$Lj3PQ*d%HT*lwz4=h5&$Q=(=)gG7P2?rzq19Ix{1 zJYo*+=EQD|cDcR;?~x*dTXJpi7)Sp{?8V}u*=IuJ>q(c%{s5hRgQvr>BG|7!7S-9V z^Iy<)99#hZ0AF=3X~na4PiECM*^9OCG3z|#xLN0YeAM}2a@FKLzY z2CY5D>CoE3PePK*=GT?*LqObf_+g&3GvR@&INCT(cPS@LZG9n1q1qt8=AO)L&cH&!2Cuv19O#d@Hus6AePxq>tn*vRS9#6`nvDt0U2ZukZYVb zfkn9l68?$^n@y(q;Lv^MIkHMQF3UYBf3*u(N$gvm)qgc^wTYuo!B=26*avK&lO1^-ss}V`KMjj?PTo@UK(It)DPj<ar$*;OAK^0dcVikWJPQm24Oh zxw?C_Gn+(`yS&iUxI9+Eu4q=F(5Ugeu9iz+HL{G$)j*{gM!U!x5Re4yt37>&<6NMx z_EcO6BRsM*$x@;lehQFNT`Iml)u|M+M9bj@YGXCgKY&Ue7K>mLI0Xi6{} zaW=gOh*44OByldD!jnL8hi-C=6Dt^su5`B(wZC0 z!FV992_j|GwNa^oMr?otGgPmDVl>pOAXI#4X^hwobBfjyZ``HQ1C5yA6f)Z@!GS8W zw9&57{X{J^SjOQ$2GYP(@FG|QUI8mCY{1_NUR24YjK+ax5zmZiHPoA>jLODN6%u4b z1e6V6F{uuf`Y-j(D~-PIQT@l90{WtiQYsG2liaqkYkxNT2haa zCT=YLQc!L#`y6xiTxp8Y_1T|Tfw;C-t1VTtuASW1m5a~XO?zYo3lqG-(AqV>ib z(^TeFMq<6^$!xcyu#*+NB5om=e>Xco_@9H)DzdB*(>Zt^TRtEP#DMxB(efMP-wLE^ zdRTEHvNy-igCQ@{q5DyOK1)pSkeXH22oKOA)oP>%JWK5JD!Lqle$>p++unhhQ zocX4ypy2;K_0H68(bNMsLF%jd>h-cl9e=ndBXPeV`%0?qOPt9;$^y2(7U&*miqSpcV`2s3?x2*+8;`4u@H!SAbMRl%ZM5yXvM#UbV5tihw_+NuP;8$=I zoCNmu{8^6w0>SBKnhSRu#}h#Lm!Sblyuw5WhO3>GSoN49rN_5@gjj*N6t}r@e8CYG z1C|h8k6{DIvx?(4fW4d?j*;&uqmt8r#+_}>Z;^8Y_y~Lsd~uevnn*5=GueK6#f!7( zm({M#S;9`vH~Qc#cD|!JggZH3VWs%z z19YlNscO^=kTL-(rJ50HB&Y?%1a=~((-K|uU{#|DWuhZAr%@4b>O-oN(*VMPp%xy- zf82uXYKZ)yYG(wTW^g#e6x`q%&8hP>n$zHGjEP|;W0rB`608y^Bhm=f99M}9;n-)Y zQ7eG7H%&<+BQ?d0$Xv6URx@fEbyOem{#I$#7#i0t8=_j;wi-tDz|CabY|@-t!?;G@ zZZD%BK6r@{RYC2n;UF!WbppLvhi?yS*;rv8OZJFv@ z#i$zClzdH>Nh=2RE@e&-T~tDCBc3WtsqL_&4;cl6zz{GTJZ8aGGDwBg$!pI^q)yVJ z)$lsHJy+Io^hw!H6y$CvR*}k(kl41Kq4x*4yE>bD<`|lfy!ocQbun6APK=T0etnsz z+VmRwfs-ogfj3H&Q^V^TC3D;OElLUA2btg#u*HJi0`IHzx{ReCiT+V5m{U&=rO0}E zC^fC;sE1=@5}X5xwBld*#&Q}S*!6HOUp>?!rPgviP}*Osd8b|L5ZIVxK}*mUbO2o} z*aBOsgjij%k?{;v68o9*o{QD;vSO)+hnK5`*BCVdCXhTy)xOS%$*qHFL<#1A`Ct)P zV!>81$5x@|tG7vgTXfV^yRS1MB0nb_O0(FvcJ>mMMbpmG(&ap>al3qhCpl zA-6pj940I{3C@9wz_r4Jt^Z^`{a2HE^$J~Q)$1GA)Dr!bedu3S3jH!d$_JI76J@jv ziKbu-kX5HV6%wa1>NCSOlxPQ|#)JheKpW5=bhcnKYN3)FJp6!zn750%ibT<8z8rS-|t;dR|R$pl7Z_4UB@Dsj6H} z<9gLQ!KjdA*Rkw`3kvRp%Wk;c&m~Pb=O0|tucJ?)@Ey~-^9j07B{Xzcu$5%N58!8T z035VnSJw|t3j+Tl^)FLk%S0`3RATPg#JJu%Ngh`_hw3U0_P}8!k0PwpZ9e&WT_p2* z2Zy>O3z~rzz*j9cQ>l%N(BK4mgzb8$S&cYb^`%5#Q}~WXMw1ZfG_LY}#^?%cDctjPp=O{UUsFhcbdB|-06)Wc>pT1J~%DmT_9BsX?Ud9wQ^Fn8ZFzj2$V z;m;IV$%pPI5+nPW`Q{JIShTGb1W@>X2K=f`Ye@+Yo1Ws~gm;W_kR#Lu$LJ??f}B zr16qE)67WZbW-m|hZ))A68r&90AFYGKWsDl3^2_&ZHjGjlQtvurrZv7;U>L2iEM6! z=WBdxsZ#n?t|wmAJ!^b(U3PtQqkBj#qMfEUP?0wqQMt{ZNV4E2kff4twiZn{sf-qR z*0v?Ftri_n^=i79+IF)x)@b1{Hib-rL0||N4j!{$51&D{Sm{UsPm%hRI@&@{03BL7 zWX&c;unepMZ-BQg*s_*6Wd&{}b*pLpok`mISxF9o2T2y31{Z*@4s|-8z`x1$w<&OO zD=lz$D`QND&{g^8Sb3O3Q1D?6d7#5)Drtp`rOJq_yh=CP!PZ(!bQ=flh9nDa1TBHD z4s@g1*+w^&9-M8+)y5Rqpsf}-xUEBAPm%>G;67kKd-4FsHrS4ox5#+XJ!h?ah4pDj}f3!VW} z!AvmQf-UzMEjQnMVx3Huk#m`;q;40jB&7@W{>f{eVc)S5RqMMrswRuff?Z%Y*aP-k zuvP8SRTFTA=rgKwS0;~YYI;|__*~i57!z4$b$&zsvm8`q)g5(0TYFm{_RN$kCd{m2^(~Wt)o(kxu zr@p4$jET9+l4r;y@PN6%*Qk;-Z`wm+XiZXHGFi9pu34vbXG(la?dfhr1+TNp=|)vS zw`;a>x91JGjbzwp7Ju$`T|Db{_U!FWu$^SVF>ng_>a>#P#chfDXAG0H6fvcL-$P3e zxx>+QqhF`SK|N3(__Dm7%Df|Qf@(;vhOg^3s@_uz?A4RL+lFXo-|awH&<*qicY*#E z><-l}pWswdQ%%A5->C(s-${S1KUuw=$UIS@mt&qNZ2MXs2C}6OP|3aWXg`JYr%dT@ z_R`W1^>Wn13nU8`0ojuFwQgIKkM0t3E-?k3@2v$U+~p9sj%2|XU~;4X6Q zG6lB0TMHa@x1*2dkSs{yWMsU-)(t3Q!8Tday!wH8T^dc+=r?q;P41(mXZCT>y^&TVPxyBa%!Rro8?sTHd@AM*YWXTZ+*&_hj=qxdgkw zZm@WEHFeZvtNitTGLFo8-4mspId{1}wPGh_Ft@W7VQ2#)InGK@Qp9 zQ$X+wI0St4wqLXntV$x&Xizd8H8p%bNb@%Cx31<(s6O{Qb`eTvu!;s(gNnepmu1(_ z)hgru+>RVrnOv1KboG}XY+M&??;7mAT2AyG2Ga)h)Y!p}Hi)Bypb2OWlE5t%Y#mMV z>F7ynPgBR84`}|g9$;=8l3}ia+z&b`XE=EUBf(gZ2A;8COCOm}x*}DX(icCdrSE27r6xG z-eO%1!of8b?4DXqrH{^Q&Fe|M{w>{_bsyJqQyxdI^){Yb|2XV#Baf54ybmYv^;(|Y zUq4VGBbiBiP_&0Be)vc|5ltUyu1`nmQM_lQgZ&UP3r2u3U>tbLg3W$}&3^22OhP2S zU`k&%N|VnSd2 zR71z=@trl6s;#g79_y&uhGY;l11&&n(B6VwwauI&1ACI%^KIQRzJF3zM#wk^$H62E zMuTx+BA9H!7C1Vez{R94HU(B6uLbrR577;3`gjM?P2>`M0d|00V7CQZ?icyw9wGIJ zDfj*~EjK+acP^|qLC=MqClt6Av37Xe?{Mqm9c|>B6Li@_6C6f{k}QY-)j=&#&w{;+ z6```9(hZ?^;hK=z#1wdbq86C&6l?L0L_0@NSHgncpdYvg+;71iLA|wLU)PbN$vN6o z((-AoWYp6R)-y>K%m<6X60j0%0CpA5w^{2|)K*fqngS<3qXlL@;}E!?WWf=T1Dt1Y zyBdzz0*~IvI3m*-Q{IkAT3*m(nmR}|pX_Mr;I(vf5CN)#TA-cDz7fN>b|QhugMH8Fm;AQU`LV#-GMwk z4z^GM{jCZO&GxeOaekSevpgKC!QKJu$K`;W00pq|^7HpeG*b;R=oK5O% zlj7&kX^O@yM$b~BoulVf!h((9L+~m1!h$V$V?M#ZlKQJDxcu{4aEIp|w!7Cc4S+J> zYT#@AP)6;XlUJFc zOcnfs(KUC|qpLUNW7+rf!QPR{@a_|MMBzjKXr9{W7j_!CXSp@^Y zAmFR_3=o4G2R=yhgQnC5N}s_yDkG7C0=kAbhtmQDE@?sIZ|Zi?SLPgl}~c@BX` zNEVb_&&49}RWT)1&iuTd5KOM%^|~jNp05Qa&Cjd#HS-;{9#2+58_)sx65d9HHxBGf za%WTOZ7=CsANrDBH%)noeltXEe91A;hLc$^3OorWfJqkYelyA@t=CN?zFq*YPHZodNp|kZ-ezAUZCq&FW%iP5g0AsQ?o(a0 zTx2u|I6~qi_1Gfz;c__49o8y+kx^6MCv&rpP)H9yONp~4@4Ab*nJTw;cQP34+sk3^ znKx5^&^;w(aqfsbv{;YG;Pkw6!!_i+<~`kR!_##gtW4(u_9mhyseqS_s18XS=JMmd z-z|g%w}BqO*?jg%Tha<4-;1PP@2O)gjGAiw%ht{D(%c5N*$*Xy+#Jt_{i7=9WutYK z(L{{}6M+2+@N2c@+3(J;7%{%p@ORk8em|6_WQ1sP# z|8iNzVo+0+wv-nL3VwHKM_nGwL%9CvmYTkn+qi!%HA)AJBrZ*5tTk%ZewIV~TU+Zm z-U(thvZesG;|}~XDpQv-X-*?mATFg8YnowfmZ_w7sm#}j-c7X4WjkSM@&iFaGiw=- zUfM}^b8DKa_HrYt$2a5_gG^oARwsN&Bv?q|!Iv_${ojOTXbOEvlQPB7ulfNuEkDq; z?4&Qeq%SN|*~=~ZNqv?E*&J>9@|~)tDrAKZ`U4RBh#I;A`hz%h(jP)tQ2u(Z-tm_= zXPtmQ71;D8%@6wXDe|VK-_}WAcxn1nf_8-M2S%kSt|GFaElad5y;kzn`6oz~*I(tK zd2#ZJRr;%#RC|+Yj`OWvJ9~bU{(jYn>1_A3dK60lO~K8e6=(-~0=WW^ zo+fF2m@gF(dO02E#U@3VsU3wmSb{h&8!Ifv9J=p)CDVwUG3?IGA z92ECvU`uNhI&EoBSkMJ@2R*^v;C^5?kEHow%VT7FTHBJZd4!iaIHK2B&C|pO{abV| zOI}lezC4pBU&(w#tzHBD7c46F{PZH>*TKiYLuF;*ZwGsUO<&Udpnsff!5?}xh47Nc z;1^jAxAqMo1Ty;Weu$j$Toi;utG;`t%lmbed@V}ZD?D&cJ-RIfuM=m-7o zlpLn%=c|38Vy;6n5UAH7BGB&bcIT<9J5To81!`Yj0WmvI^LMEIDd-R?HscwD1q!?b z?1k}Sj_ul)G(YICC0mxJ-^n?)g_m<6NZ48Xt$f%bORuI(N@dEHDeGB0yQLosz~G-O zmfEuXYd}B9XH)v5cisEB27JgZ12^?uqg@TVS!5FO>MajqQb~1qsZrI}QuUH(<~t{d ze%(c^9xh>L9s63hhK2~|_3Mi5;gRc&sNB^=$&a`*0j>q{;Cx-ytRRtNyT3@9AGRcu zZP-U%3nSrWcK4m@t?u5|M;BQwT|^o0aW0sOAX(=XzcA|a9z$S;Mb91r9>Ri!;1#eO zyaqM{+ayWz!=x;-?bRmbYaZe190C${HqSji?Axq|bB7JscM7Tg*cYpoZg4D`8hp$< z$e%bpf!OLel)OC7L3MpLjKe@G_es=L4%LmieH+UH7W! zP4ud^2=b>_J)*{L!lVvlcbe3Nu%H*{3kHBeU<|NLk~BX|nnku{+N6BVBV3)MM#9eK zS?j~TN}Sr8s==GFZv#?`vafy(hB$d1QJ-wazE3Q!c9U%({1x~C{0t6&gWw{t?UOV= z>?`{zPe^|1)nvj|FH2NfrqyJd9VRuPlq`C`*Cu6TVp3zGiZUthTp#=)Cf!JOXOp!g zd<*CVl0gs98{7|UlO)Y)(ni9iKjS4ta5J#3O?q)S_%ksnUy}(}XOl_T*<`X!Rd7T_ z>)GMLhel$;qmLGS(Jt33`Bz_kK61<$a$kEC#0uWqeu_BTK1p-hSICx-ha#_P`|>Tt zgsXX-67sRtWLZ8;`bLkkq>l?s5_vst!N)YuCUiKPr?8vT&ZU^#xb}no$B6#XyLp6{ zb0I8YXY*`v*kX6T$=a4FpV0kIBGtb=m0v%>zB2{v`;)Ms^gh(xHa0Oou3wHp1jc$_ZjquTU6``;W5I3 z31AZNwNjR}g7ke{GEODi%bI?^(IdQ^qesHd=4tQ4mY=jORX#6Zi*z+})&JP%*s|W@ zW!oZezkWbm!8c%?T}{&buw^@9e)nz);bl&430YQCoc2L~h^C*CRe*k8Q*6wFzL8~8 zvFQgA7F-P~g32Hg!~we}Nt%;>Arsk6Wb2ycHIWG~XH!Vn*%W7e*z$O3IrpsG!bll_ z)S^a8Uh@QefqnOrUAWrz4J9lX3C4mn@C|HVe2kRW$d;+?%Quk;S81O8 zD)S4gc`o=cNfDQ$a=x%`6gIvpiOx|? zxBc%Zi54V2%dvbXbzs_!_I3_s!j0^V!G z?H(2KC7-o8M^L)MKlt{ojxJkyKM|Ym*Z9T z4kIF>8qspkXlk6f1d-&2P!d-%&hyZg=2ym2j46g?H2M>^7sE2FAj`U(oZG69oqW0A zRs`Ix`t0P>P5n3=1mx3A52^H>Mre(Z1ZC%23LGa|+;7B%eaS6SP-%z$EQ?uEvq;zh zAs{Y}QZhA!snoCeEQFmY%dE3 zI*x_y1B8cxN5NbmTN11BuflHq(J;dGN**k^!(B1v3;a z;0N$CH~I?R8u7R8<4{t5#Xkb%`&g&(3w|H#AL3;C2>sejlPd4c@g#5@B<*skH|{N2BS6d1 zeev#HY%K$E*OoHJ!6en;JH8!|rAFb!rI0!hNPm=LadPkN0gi`*g+R{kTkub)=6l(% zIKyGdZ#YeW+8`cW51N4Hpc5Dbgr}s5ZD;Y5zGbro-1D8OMvi%gezy^xVvCgeeVt_I zcY@Xu7KnQ+#I!*~*$i+EOM>LHYwYv+@BIB{V-ODJB^jF)7S(b z#`e+1CjV%)?9H)78!L-Avm3Aekq0{LKKBg8B31T}oS7Lt?B6on| z8dJE+0?uws2|MlU<-@+g+P--|S@wOu%3u4={N(7l@7#4i1bP92{v6AM8u`4LD z2^43n5O*3YVW+Wo_%K$6c9zQC^M5lZ`C;rq4E@&+V=re;O4G*H`}vaRq^Ey&v}}zZ zSml6@peyh-CrO$g#&#!LDk!dHg}8H=O4!-5ANy$8>Dt)TeU`C@n9_=xlk$e?wtWs` z<(;}$U|8_Ix_61QyRoGCVeI!5IpK$~LfqMnCG0eIh7V)sX=B%CTN7}zW_}vGOMP(C zs58!gq`-kjM#!_+ojrmEX*&$vEag7u9jreK(0b0 zO|106>#Du%E9~{+b-=2}Nh3XNOaM$3|N9dZjUDK`^m*SVy5Q*x1iiYiyjWanvcTapkF8je2INdfH*NEF#V$sNf>PS@V+S%|X?l;jS65 zkDbMRUY((JZp~+&)*Nz9=W=L%#$mOb)?-xK8LV!IDBEf|lY48_-LDPV?)KIg5ny+} zptD+I)3bCxIRX0~7J9aL^{k^NLteBX47C-g$T&S6Szc*3t4h#~BLz3FQ8Vd`V31 zf8>lcH&Pp@xC{LHf_ynF-o=$3*-B?~mxA!s_~sYIW*H?b*0Mrmay`QFv!L95-U2iYZdRee~wvMrq?&mHHR`o3 zZ{J@L3t>|Xi+K>t_@w}g*Z^W(pQ%HC7jpY+8|fdaw*PR)?kf%@_jml;2M&V^!2K(4 zr-ByG@U|Pcies5v_aEAw)67@{y{N=chN|^IbA7%VY zFC9YuWMtVl6-N<1AI({@f>@C7FSk)MpEl+;pF*EENSbsL@3G$ybsCZBrL09`Ixzw)toq+r)`#4|Je(p z+~$j6c4~eruBcs#w`MuiHfw9boYwf+E{PbmOJy4FiNQZ0Xg}EAA9#}Ai>Q5s&>lkK zuKS(o2dn_U0NaJBS#ElVSy=au$_Hr-Anqw9C#lmIwcG8EH+HF@67GqeZA}A~&}8H+ z_<8J>#7XBA{gNgmi_zboB99*Q(%-qXtv|Y?)}LI`J*LMLGK)-`hkU(w266fe#vD6u zYuh{|%?}=P5%a1ykK{m`$KU|XV_twe(b%oF1-QHBR)<`q2nt@LY(l0@L(=@9@fAf5 zdDEC-b@3RL5vXZo2fF3M%W8Xo`zF<`l)FMMnZiD|>^_u7Mn1*t2boZc)IQ|ZHZSCo zxll@zsaM+3H=0tYC1?ja0ejohSs9Y%hyL5h*56zIOS$@!g0%kBAcy{kDKr7h2J?Wg zJ|Ss-=wCp#wch$)$<;rljMl%tjJsW=^Sj4?P*7ejwQuVNs>rL{;XQ&5n`-UuTi7>{ z%aPCSH}ZYtf>dKjx1BYNTM^a$uvb&vUy6-il48`(tK2n%ALURc^*2h(0{}tIzcobvRhZe*9<6dxqLDLRA+x))KR z-R?kr2@8gThXr@?$P#c)29j1JWAj8cf^0L6cr~CXH#s$}pet~21$Vo^6=Z(>h$`oH zN2r|@+@WD_TS{zVxj!8i8t3dxva#a_m-R^7>dmE8E|;`WcSM*;g4u9@Sx@U|>s=}% z)IBjk(@;$-x+CJUk!3gD*jh!aZ=!OVxhn@8W$ks;t0qLe?D@o~tctoOE>v`M<*@7d zlnn)A@UH_6KqD}hI9t7>6=i)Bl~$1@!wQPL=dFKJuKtipT7OcdA{Qq`X$n)(^-V*6 z_Ewa)Ht7|v6-^0u7Z3A*1xl|Lw_v_ zC4#n~Bk*OWLP>#tIkIb)#eT-C8Ak-f5slrOkfHkCNLy(P^L z8ZT00l{bxDxik_YG>w!9M>TvvA;A{#CGb@Zl2)|-l&k3lNPPqps2FS6<^# zFE2*@OTmIKMmYz&q!q1R_kz&l)Z%fkUa&t`e`ciCpA*UHw99exblR&Lr_*FgiUNDm zxr1;YFc1s|L&3vf0rboBS>X(so%JBU?J7tC_W@shL(+=kVXb@qMD*1s zyc*|d?wM*{wC0f&4G+0qcJkQNl;y<<9?i!826Sk|vydQ;IJ-S0tssw%q}$G_k9&!F z+?&TEx$Ti%L-Po!=^hg~h0IPKGYAXjfcYw?mOE5m!AY>7Y9A}BMPz%^TXkga%Bo&1 zt-4n&_rx%}D}F?wFTozLKTo9u{ZRQE*)DpkJdxY_skODr^x6!GkKZt_QYzGOT%{ED zecFmSc~vjpuJwaVZ7GuD)dkMwl38CzlQ~q!JtjDr%(+#sQe!v)JJ%wE@AOOM9Z0#? zTV>DO);8*Dl?innqi+O-1QWm{;H%e5nja=lCEH?e{r}{e+@YSNxD1{$Jn8+J*6T{gjCCv~0+t9zqTYuGD{e$ak{qySUYS>oa zQ4Pl_Yn9%B`^_xW688<#UBVC0LQ^;m6>2o#1c#_UiEphl{xKY z`jfd6aZ*FAI<+CAqW;_FsMz1oF)9lCwrKR}yzVI99rc4u0!3PRlZg+t>tRZwCbK?~ z?yx}}O>}gJ!oD2Z!$Cv7D(VM~ArwjTrg1|qjh)wP8b%||&vVHvGVSJ&J4y?PEBJ(k zb96|WA3T;JW}P>WpL5%$$_<)FhZ`K-@-=pjw^Hyu{7v8^@HzOJID2-Gw4(G|Gv)z` zobuM+oO&>?*-{#7{j(Z7u9-^QEWctH-Nc>$BK2yb{ZLu<41@WMS9ffat8!%%t#Wr0 zhsn1u=1G1q2n+$fF4!flDE-#F9Ebj!y!GFTerpq4&HVH#$0fVW0k+=Aa>;1@y!6mnibOH;rDoG}3O; zG%{{tBIt0^oCs<(cT5ByBH?TBD>w{%O~{hw2bp7JD}C0h8}x^a*$i3DHJJ;|9m~Vo zXW97$^+BSVb+a`Q>Y%IGb3kKCb?{a>C|6}j3sm+|NiE#%s@y~7LBPKE(xL`y8^UW< zdJA{Wu=N~%1lA1W%cS_3_@AoiBzJhU9EvM@jtev}8GH(CcUFb8bcdI+B&dgOC|Ri@ z$rr3Fk3i=ij^kB&61!RD&zrk665U5lX?exeh9RgJYT@Xtci!bMwOIxuLhVd)_vDuo zQd_%gMz%$O#CF8L3&`i+2jH8ZfX`@6yA82|QY)`>oCzvk;N3`IyCEvQ6&pLI6x~%G zB2^&nY)P}LWU7QVRFwVZg+w<~Ra##b8zEf`n-Qpg;ex+xm|tDXRZ1IoyMWacd`qov zo+n z99vRV4R7l%&9B0bYDAx(^`RX93y*s{CWs+vO zW_jWdC52VvI2za^Ti$@~r1o@hNA*Z1x~`jdEAR&ashU*$f>kqxIH{T!tu$$lKRAy3 zo1qSB{ljJ-5LZVfccc@WBK4j4=Q`3j%~f&-He+ovcm8V*^Q*YAS6QWXaJS1%`VV=E zZN>2H2a&XYi{*JY17(TI>IhHg9VU6QdcX(V*H%M2xub$#MVRD#1Ao0rzSUi`b|#1R zYpB0+T$X3V?+5e2ad3@cSnuzb(xv`&sefmeh*s4*Q)zrL{Z@D0M>cKEpKdlQZ#F}J zi3;g-*`$PP(WC+g!*7hC{+V5(hF_d^BXXMR)tNK;$Ij7sgokejB`yIi?eVd_ik zJQ%EY8wIq+29%|XWvWA6-SKhdrn_7rKpxDHV{!6u#%!(}Kcl$avE|hIp_-oTj_JIO z=pTXHQaXw+w~cN9B1V3&^ek~wC!$5t#C0iQxKhDeVBJ(RPX7O{jBcD~Es>s0cZ7vS zOk?c{#7(tM`>D!E=Jz%$jpvnBPx7hI07>ASlKg*Tfml+E1&1*#u%uCp1x6*+r<*&% z$W)`cx#OEkh4*jcXXQW3EYE%6Qc*l%;Yr;P8djsEq3hUgkL~^C22N0ScTAOH$R<*< zqYtv*2bgE;R5h!+Ro%Di>h|dB&g_24)g5`eqr?1#xr0%C6@9Bb@YP`?&5!CHiI_R2 z1@)XAMu^JNRQj4Y3ER)}R8mK8r|#FOpdRk70lSfKQT6HJjtO%G7_RE%55T_~%xEd| zd-fe%NK_*z4;sbc&nH^kFpigiN`Z!}H?ZBaD!M0q*^;87UN^uKkLPE?Jp;8d2RN5TYg%XMlSV8f+s$OqaPx^ePHs0lK+`2x6 zuLtrIiZ|jH{24|0Emf(N4py32I)md6!Qdd8E6A)BOO9ve-e@)cZcZK_s-(N9hPo>C zt}D|hynSgrgZ7{@oH+7njJ;|V%@I{b76bQt-dL3sR-5B`z&=aFaokq*>FbUP>&T&G z?}{&who0|Hv-&cq`V*8VHB<5Bp=WU`Io=Aczshh81-6^7cJ^gZSyH59)LuxkKwOM9 zW36+9Wl(*lvimToqLk5>g@zwAzea$d2%4)7{ZQ7LNjgI|LlUF(Bfd$}YaF95=8YOLOnPT~!qeyUBvpYNa2_e}_TBlO_ z)3Kt|to{YK$)eHZcC^2Pn`}VWC~J6aLf0eC<|doaesF6~k-k2-eF`_3($}e#DVp2v zltQ`X>v?wl715-A(0UYF(|pj%?RnCq@y1dWeUE$0t*gkrhko)V{(E5iNN!`}AHzQd z>`zVo#j(_nVhi2 ze7;6tIm4BVc3G+BX^b}>R~h&6sM=VPp9V7G8++`dY>kCDHR;34oQC~LzC)Df1E9~eRx2al1*CVL%WdD=+IJgzR>_n+G#<`!c|A{^R;=%IJTb{s@qca?NM zHzj)^R)T%;?^PiWa8q(9hjLmOgKw`{-skvRkOOY4kXOkMsF1-_vMz0s3mZzZK-`uR z=DaXNr9VKglVEo>Ww3vGg;p_o7og|03g&!NsNQ()G39 zdZX(H{9nK^a1Kbk5o)*sKp9X4+;A@^YkWx)H=Xb%FrBz>K|hr}#2r=25+xlkV01;p zHCpX{(481OjYH{68+c4$gQ_y5P+qA+*zod@JC~PAAL5RvJ(nn(!tR0mtWV(-R+Dvm zMO{4>h3KIU3ct~vH>i}Mg;KbpswslkF?hMdoV@Z^4Hku~mBScjH$2aVOIY4?p}#X` zUCAs{!4J8^BkPj9ff8}}H-SAQcgFt=|CCB1OSBw{n?m$*@ILqn{0*vAVtt`9htrEK z3Ht2Yjc9?mC+M~hay(jPKE%$%J+m1uM87o_iA0YiEG;V8!d1>g|0!*;tQgue;jp5T zIS4L;_Kj%xP&G|;CnkK3q=IjLZ6$6euqW(O9A6V|xZ=PvwIJ0UKCS_WBBc?2OVA!9 z1Bvek?g96M(Lj3c6nse&mxX@>^r&pO6tLZg9Df1|J}YYLXh?-O64Y{ezPKYO>~r!8 z#653K?MGDd!?f29qK6V~TXL4Lw3lT2P^CTme{Z-{)}@jEF}xm0?Sm~qdYbKEQgYNCjLC|GFS!PYihpM@{SyXEFh6~4 z?v4qbil(_hene`iN*KwB;x&Tyk4WWkTqe?RbpQi_?Osr+BboWE(t75bOR_-RMQiQ6 zM5R8?*{JnqvwkJIn@WG&FZIH;81-+V?(;}<2J=JxB$(|{hemP-^OM&++hO9IF)%hU7tk)Q87Ixw927ADMaGG@4X$-7JF~Z`SbKD<{11|#GWhr9} zHD!rZGuLuQkL0aZmT z`&Of*3Lfi@4=WQzRS|nNzCbQ|_)_Fp7Tr+<j`&MNJ&cfXlM2*N%}`+K5(r#!*wFIh2%f_)|f(KK#U}${xpvn@uo|pt$!r{vFhfHetrHS)-!I zQ$tp1siE54$RZH8(5fLRYKLXI>!}jPu^}-;C6BvgI>NRXI(+O#wg+X+H-ms$TqOhB zJ*bkN=FX)lMc?rXT5Q$bW|j05bvKOM@{oi*Q11*B4yjMM}J|eX~8jY3KV?YP-;Tb{2+3UY{9k7nkYY6*F=@c zK5F86&7#9(p0Tl6)T+(81l$5{RoRoR<lS6n7=tAX9OW2$zAjS*1+zq5BDX+B0g_ z6du%=rVdSU*SvKWg(Y?lemamB5m(~N%Z6=0d(hc}oORx?(xk(l?OD9MMasSXyW#+tx%+*G))l1xXl72|0zY%wyv>s)I-tK2Ly@aXI8$pWD8-rgQ zJz4SE^rVb0dX^k>J8Zgx-q+mDSq_EQQB)W`$@7ego_6K*#86-K-a-3`I@WI8rP6y- z*WTdi?lDd6R{a-w(jtYuUMel?Y}F?`3#KQQvP$Vn+C0;JeSoyxGb&?-drYItlB`l@ zx*M0O5X0#>#xxGGO^hUUVWvBATsz|Bezo&PR7c{v0Q)kx567us99YIyMo7seC6<&3 ziD}h!wEi;k1md3otX^DG@m~Nhf^;D9OTkL;I(Q#sg0BE+H%aew{Yju&T~2NmbR_?# z5`G?-7ryS?mn1DEa;9rLpLBt^<;-)kk14Y3u=J}%gx>+9iL{OTjIeZjDZ{6wX8r$A z7gB+jS{K`~V_#i;_PpY{=)wecSWS7}-7e$=V*dp85++n-KktqWu3XP>RR{9kW{gUi z&62nQK^gs0Sl-(dH<~y>>uXJzv9f|ppUq0elA``tp{D?T0&ZD6%kzSWzPe4r0lvP#cT+Wm>5&I3@M>Ox8x&HCK8@tIl**p( zju>~AL&_0RCa4Rp0|}rd(31haq=}o0zXi0fZ@3l#+kM6HH=y9QrmaIZ zi@qnF-LU$uCfrdKcaR8yxc5t#y{K$_URb942$i$I9T6bOYgE=t|GicFP=2Xa)qvy; z;L5CuGCHY{h0GY;5OtU8v(Vi*_&yGYfw1d&P(-CKWKNz)P?}*fT}Iv}7q^W#qk-WX z4%UGKKwPxSUg!=_u%!sU+Y<8cLG~al5H}r>a(kQ4J}qYG%7dlyOs9QCB*~pruSJ(k zQK%L}@mVOm1g?ELjc_You1mTuEp6um~{ZubBe5wB%bs;wtqh1~c z%3R8mr1e$veRS*RC7Ip9XaRryAH78o{nnAG5M zm9&~i8YFnFs`uI@s}=P=)UJia7gjA4sy5!(r&3oJGME2`gkx&sYUc9u9J+2Qd@e6d znsltH`1V|$#PMLzyg5&dH8QCU7H&9m>s zbv`HaE1>r+@_o^J1FD;#DwBDk^x};#mGLI)qHoA4tGz>%s(dp$1S;iCcSMf{95U;= z8sRqw9Y7DzAKVKDgJB>INS)2bmo#zT<6i`WTW~`N+|tsFAE|b}=?+h_MK+9P2|<$c zR_D`%1>%lbYdaBThh=RyRi&2x;~yRn*-2dy5Oqc$M=u zm(-t;M`p2$l>b=me(RDIieg_1w;t|^gHgv+P*$md4}Q_f$T3B zt$5yFWv+GC>~R%`QnEaLB=}_#QwshC{C_|T&W>%sO~lD&d9sxzU1Sr-N5I{!4cAx@ z+6Fey~~ctuh=Td8+)lc_hyM^xIo)K@}`uknx#S9Jwvc}zjU+{7BEaIdCibQ)}tlHLabprc9 z;w|R9XvxtNPnTOb(*SX$DJSO$u3O$?UXM7qic7d|gu0X?DVi(WVA41}u8i-ppQ zH^!=@_n1>AlJm>iRg5KS7NmQur|@lX{s8|IuoZj@B>rb`02~D8fz(w%J2Oq}Z^`jp zV50?z6L&;~Y+!L>i4zndlM}X*N*y<7e=J09hT}GKzf%} zT!9fenB$>fIFKno%CuKiKKM_#i;2Z>e;mD&JL>AaV(zzM&m5JqiT?2tBBQ29j(Z33 z-+&9C%&lzSfC?qwDe+E;(3q6uXY@{fRpP1vDP9vl7Bm2jfy6flN#GW6C+H8V?V?xW zi<^zV4*Y3BNAj;)CqpG}c88U+gzA?ehHrM4Y}BxmSweEY?q(@T#WyCdJ&-FQdo_MP zVQG}|jPE&W<>oxYLc9!9!I?bpV)K89l23psUwwe}hWcEQ6J7 zyE<{s+Z)|fh&ahh*hzk(5AtH*9F>!qNB)1_Dn(h9+bX|f*E!QJby=;_pXM*2nttT& z85Go+2WD8muyOdYJ0kcV9>|x}azux#%#R$;R7>b;MehI|QXNbxy*-0gT%*p#MqNS5abP1LA@nz8wTE);AfSyOX_)TXU5+|svPx#>E zWJ*p}$)CDwmU)gt^ARG?!s!i5=@ewjy8_ie=e}P!9cqFK`HWI8Le)Z^^O`$Q1m$O4z~_ ze3IaXIea6kYu*&xhU5D{mId7s{5z{sx6oFW6#X%UT4cEZ#PM#RZYwEjhh@OHRMr-r z=GmbRZONljmeWxbD1wUcFNTUG#r&>=FDlB|%1xLZDq-s-ss4@rRgCKTkZ*sRwbN9X z>ezrpDrJWnwawk#7@)RobH@h^qTrLt*zT?wRFZNsK&I#$!#d$MvxDsT(p}PMsHSgc z+h>Q$-0rJ5PqPjElCJVJ0$=ee7hkqDs@IU_DqkVZ^W3tQ0qY2CQVVvnvzNu;*J{=d zcJ}siDAS~5w|DmHCi8eXxDRlPOZ6*n)CiO!roqvw|5sdr+@zv+QoCzZ@=kUH{F5$P z3P{(NH)&{^lWg7M%99>j_BIN#fHa69Bu(}Ikl4?+2wNT z2ZCaa6tgd$;8PFAx?D&uQxdrf73jcu2vQ*;;5 zsm3iWQ6mr?FQH$SsD<0|GZXk5h!)qA zW5JIW%vc`dRy(;kEoDj3mEEZ)S6V<^g+OxxeNgTEp2{9g^eCcjUe6Jh%Kn)rQ5Gs= z_a!k`6wUxeFc$-hVQxveT;^inLe=4i|C+hfNHNT}VEf^oW^cKSI)8xvxKPdd(H+yM zsGl8|o9=dVNjH)gLCtP-^hb9@FZqS>A@nS%X}dw>7skun$@|Or&R-Z0Ax=)r(yw${ z5jNhSQhuUe-Aj?D@6J$f9W1jTlkj#cO_YZBVnYXf2f})rL?k{*)?_NzSQu>oAfa>I4-&+f#y zabzj@w$M|=O$PQOG%Gp&0h~}F*=(|&;!q@<$9LVuH5sS`YJeCJ3mSly;M$I?N%193 z+#LL^pw`{gGT8eF-zmc{snW8!murh`7|lB&eG1=XJyXJL`Ri5MK4z5GWSxL?oA{lC zWk!)~qg2Me|64nyZi=z99|Ru-|B;>h(KuCI$mU6C`%61^+sP+eGSz|uY|?&;!fim_ zF8N07Jm8Lq+(XcQyX5A+>_CE5;2Us<%G}QzkX9)b|0M59^y3yW5I56$#{F&;a)ACk zRV5v`Z1uvw5cQ)_H@TltwEEb9ISht*YRWGRsYR4nq9T7|9kr6fH^GOk_y(g&`Hgkd zCj?~(O96XTSd%NK?qCn-nPRS^tfKmi@Nf#x)dO+!DJOGIca{DtX3tYQf4yX#qT83w z5$KqVepyEqsxvkq6DA8)mEWoEC6rhN?AA7)*WY;19ToWw(US8${45~f%ifKzznSgH zxER{{S1TlDH5|Yzs$dFO3B+x||HnGk5&W}%?ZJ|&sX7-a$yTr6DY1hLOnJ-FL$RoP z81le=bYHS9R4E7lD-$wde5oeH!l&Im-s>zsO?ZVy9H>?v;zDMo+H=U=weYm`%&hv{YmRnFnd zS0q$@C<<7M(ofZ%KisjVp4fob$+=rKJ>s6&`ezb<2lDZPGx)wfULe*;5&Po>58g{J z0?qEz&=)|K9KAj8+%Zmo531y&n6z7^9lZ=SqOO1%_0U}(RqxY$p4DzY`CXtI4=^#~JAW6*Nl4QCAXE=A?H}-Jzg@Y6j584G zr4r7#6YKRObHVO4fVd%GHu$}f`3mM{HT?|le0@TcTvKns{}Sx7e2M=7>;(tF2_W@& z0bkO@-TWXU0_+8?hUATkVahnm?Q~nDtbkHUcHT~(Kv*E|8Fs8*x`B1QBfOk4eBJdN zx058fml}2U|IRk4k78`w2-hrdMYcupn|Yb%cy?#t4o|h|RoUtcIq#0iz0~@V_Wc1J zhk#sa2?}0n9VAXJwRB#N#r?tCID!sCxu*ndH$u%i&tzqaRDG9}sHt|IcQ=+F?z^D3 z7<*lCCkE(bHR}S~o*Pug1$TIeZ#kuwB6`D6b2_g4CvR=+Qptb*r^bj;#TfG?Bz_%g z8k2GnV=kz97u~HRoaqi)85p{Dv{-fYYFa=ECJ3$IH$@Vi%f#m$f)XA03sd0EmVCw-D zS7PfkjYc~m`G5VpdZ_LJ^k@j7Zt2$i={)o}Lj9#l+~3^v{N0%EK>Bc5{|_(vN8y~P z;9fi(yYM}EK7Km-thB?lFbiT@9wpx^tK$c;9VmqbOq*DnCP82R+9f=s7#ae ze=a^LRksi+N&J!3XGkQBuu8E=Qt{sZlDzZGwI2UFe|sS02I&^$Y22Ryd3t*va{INI=tKd;qv7BZR?|qiWTvhgXJtOJSFlh_Nemw?saE$|-L2Att-CvF|yKDEQNyIg)hEAHTD z5b=Q3h18z~uV7D=Bu8ZZ7+y6I?0aMH$hAUEGkdrjAO)p7{J5JOl<+Wub(quOsuC0Y z@9CoY2he3CWF7+-s7oaEI7bGT_Dt~3N7^e=P}+0)gf0zr-X@H7RPDt^2X`TV{x`V7oj}DMme7V|4dYq`P zu%u$T;&funmTBeairF}aJ;%Z!+qM*iw{0yVT)UzQcDmv*{PdS;Pd`Yrd(e7Hw&a+n zy9bYR6SYA^T-d<&BBa|%nt{1B6x?Ri5-yuN;jDHE%-vddFi zLnN{3PKa>lS0nG4IDS@mHv6 zVUyY`N#UOOa(X$0q^_`rMQ^;j0D4N(AHCVoSqN2KjVqE~qI->`g?k#f<78h&Pxp4~ zN%S7j&r|Kj)=yBi1j*nU@Fjj)VeQFO!_?FmOD6}D!LqSd+1PT-%(vjLP}9jSo92=m z;feR^E=({M7suesh3xgMC?Is(Rwvy^7a5@DA7kJ_lcc{on^1 zS^_sgM8nin7|-GjD4)TApJA1NEypbWJMdSiNh6(h*cy^w)e{k|XAUgFds~e4-rCs& ztkE8oEmi(q@~T8(^4%BKE(O=e(LC;W*8tN*90~u zPOu(&Yn{p{(H$$<)jeZ+#u4la;vGD8L$GZHn^Um&V1EhrQI26dmh?+d(H3c{xcm}S+$8QAsQ51H?6Gq2k_*Wl#sP9a3ZdXfExk|Yp6IS6 zNi}GJmp*!S_qhVUhQR4Q-6XH3C${Hxcx^zpUf6@c-C#VJ0fsVMsy!RL3iQ?DdTjMm z^CNcbV+^HW6mZO9ao41XY>{TGTtcuy%|v@18Y`K#n1?#V_NQ7WT4``C8C~=LqsIma z1<+#!ymvlk^{#W!<7%`mklb3H?xB&BSWTdPU5=e9(J`KykvHPh82zws2fBN0xTMC= z;YM+(t#JajGfmx#yV~QdPk?;jm{7@#p{Q*sTGSoFCNs1HHP^COr_KGzFlxH)p& z5u_8x**5EpWSXWxLTX>5vO$JW; z&%u2Zl$M-Wp3llY!GkXn!mz7@Sdai3f@YuTroL?7h(cnlj>%cx$n>zton8JZ#a zJ%;@pD5+kWCV*bH$n(`p)qha(>t2{%=UQJ{ngR4$N-@1V&Fb&xQC~C6`iiUP>CRVe zaxe45hiW^~@(i9%&y<{cp6VeraMl60$|v;&e4MAMyP>3B=BdeHC+U}! zP)>9la#|p=@ASfQs(VKTYgw<2^EB{k-?%j^W?Gfdqv)yIigd%m5{bOrQ*&Gn=^du4 zacgVYfnB&4e2Sk*pKuLRohv@c1{9F^6q7KZ=F&_y{{uDF*$tjkFSPsN{}9ke{f3G< zE@}RBP?8@q(46=Vo<@NHmAeVI#bCu`%@Xj0fDr#R|S+%h~#PhOjPx93C<`Q|U6eRg9>oo0f zxb z**-eMsa!@ceRL>x(nxIeNSS~c?jcl4c7wfSHKFQE8YaTU=!F1 zRuHB&xe;5#)O?NmZxB6`AMOCh43Ufm6qhYh+kf3*1S`~h&s0s@|5%@E?Vj&RPGe8R zsm~6sWwU0MoM=E-HTjZ}(^d5Yb5o_~)t;I?GZEs;e-_t@d0+up2$q0#z~Qf9nkE4M zEkxTh(=6vR_$x7A{(5ckk7?+`e;E%VS(4n))1bb;vypstI_pH;$E5r-LDghKLyytT zshL3M>T1pCiAp8V>_;>^X=&EMw`P>Go~!HBj9#0Xm9>7vb~lB#jhgxpIA4d<+RT#4 zjsCr+Rsw~>XK~k=b#_&iu&I4xR#jeOPm0&-8qrNWjZYPP_f>o{jSTu?-wXzUJ3%sj zPLtBG;&`S>b`!e95R#0wc%D)4O2D^C>9udc@AgyhKjW7vQB4{6UP0_$S>KHQP=UUw zAz$Nl5R~Rxq5hSC^Tyx^VR}FN9b3cHB#XYwbGtqRm7lRXtNN!%Qgg`LBF+0*XMz=K z^6V$G;gZyh8R@lnFTk6X66^R!u60JL(K02i*~Q_jItJi7ii{^cV~vyN!S^6_zz+eN z((sR^8=j+ohORRkx%HFK=BB0H&Beq$Jc z6>5GVolaM-m)sUC?(+M+7U!I&=It-fv^jiSh5U7mr^r0xy}hZcNz$~Hr$O8aRyYdZ zFQy@723P}h>&YH$m+Wgzd-FcajD@Q**b$(*ZC`<%1R8>7pd-*i>WQskY6M$R<~iO_ z1IIifsck$}(j1ZXV^}03*!K<946YSwrg6X3ttTqVxz^4zhwyArUE|mql#jQT3pC9n z$!PumQ&BihuYJh+vDsE!syRzzUsgLHi%1Ys+yoS-fDK^cNjb^X&pTE zg6&l1`{m^wSjS_1uRVSDO37}2&hj;%Lh_f+U zL6y1BTjOBSq&e}ue@9Ps_ih>8u~@dBboA8g<`nHpWN!gqgYSU9qSdg#EDsW^;{3B& zhS5Uw4lzP9JK04$)-2j81T>M{PM!(vTFqw=1=r9n6g@ys;C#fa4`JG>hhl4(npbdd z2fed-qm^ylrEEE7)7#mZroCNay7E+0-OMVa%Xws_EDL32{i2g>_mi!*^3z!*y8o2a zuAY==*9-jK1n8_k3R_!uL+n4f?jk38Bh zw!peWsP1hgrW=zQTY}k|I}of;QxDF4aI+cgT2DkB=QBjk?Dr;ubW)?y{zMH|SmzAc z8RTwr5m?toW&qZM$bR$!tDzLl`qamh3bRgB){=j%C#7bwh5Q1)yiIpU$-wR&<5XGe zr{{(ItxwNqrn@8RDr3DY0rQtg`L@NpgD-QXWR^G8q(-mxCcYYI;V;Lpuo}~d`lZD6 z;L+n-#1@{l{D9vvxvvLLxqso**zOlO)B}Wrs-PyQ116@n^PdWkLGK+R!h7}{rNNpdRhD@-!5=);4rHk{aC<({6(-NNFz zKGl<0p$3V4cxLT=Gb$k#KZld9JZX3UP7O%X?j^U$=@Q%yC(TqJGgKWlv6BB`P{di> zl=_#l_PT$sTuY$Cb@0B$*5Mq=wL5CvEAAUSDdC0hj%_5juO~KI8>+^7fDFa~ZO>D% zt@fPLE-0ez|uqg6*Vd4H~QLTi|iY=trG91e{0Z#Gm*xJ|Dnq?0 ziq2=mK4{U2_NAlwnRHM`&CQbTrHwj;(=)~DKdsEN+|$c%Jty_{DYw7LC~_h1UqB*o zZio$VJLRTfMG;LQ?DmCcmzy%M%B`TcpK|M95{&6XWe;C{_77Sdea@)tD0#Y%r{bv^ zf1I2ZnP3)}f}E$o9NZ3b4J(TI8-#t}hq+R-m^ba~sb1Zo;xtoj#rIPB5k`d6Y!{S# z5js*)4D(_5yB~%DI#O4&Y;*egt$aF7l+?b-ryR>KV$%kw3f8^O&)~6ZgNDE`mpJqFficTlvN3bUWf0eIcMUfsy+L?Yx zD=Uk1_y9ko`x3ldk_XV;S0mv~nKpo@q<3&Cbk$=kA9-fg<6|!MwxkK1RgY$id4K>X zL9bV=`J|oH9Cq$~g&_=>{o3Zi4>Sj|d+cV-7m=>8>oR1Z*WKnEvsW7avL8iulcA!c zUXj@+y7_YTKzqiYO^SuU>6z9;RYDpMRZHV`jl^b~QRyMA#t=psww`V*p_%!qdW6So<Gi=|cb#@?c6$883bJ1XK9#&XJq_CagtGudf5Ng6b|UuGpb2OR z{>D$2ltxmN8m6Z2GP(r_dyTy&ugR4YJ+*^vIm)%eRQwfcM%xwqy3h5(7=ER|bqA)p zw-U#pY%-QP?4FsQYa>|w0rAf@NcN2p7t4fn)ba^k&HQ6xqV4(Arvn%O`-8G8@! zrQ=t!U@hr)dlJh(jdKU+^g45|*JZ%{p4d_vy3*>=OYl~xnT;%cqS_(ud!QI2N%s^X zHa?gnuVol-;x1Q>Cv$)*H zrJ8qfEB*wLtKF_KK+UTXGm0m6JGHq9bKoeC;eDI%FC^wZvjS7^yHE4axrvsR53ur88*hzS5Bo~+FhPy@zAX35 zwp?np_r%V`R`U$*b)W;?Q2t}JJ3FaK;>ZKkJ3ke@pE9T*`S)M6%$1|R%vV5UBSf?W zPG`_UoO7$lYVzwObk15Tnu6)aP37a1%(X z`^wYtdjj`#Fa=eQ6Q*&z#P4Wyl)IBWoi2c_DiwfjCOJR<250BNw#j+0-AVy3757+= z`E?-qXRxQP`zz@`mNoWo5q${g=YWo3>nDGFKL_MmXO*oNTf@{`i+el}@G}_vCcjAq zuS?!oe)#5ytWP;ySJsYIfMwK3>wsvHq=>OQqAp7lEYe8-QdkBzA#wBAMu3 zDw*ThSoM`08pnLv(e&r8Y*^<@xU|J1$keu|<@GDxC7|*fNrKl0rt-)EeZc1XAAs{lcnVKFdaFE7W|#m7b5eIn2?w8mYd2c{8It`3Wn_yq%V;7&3N`NrS!J|9lBQBdEAZCauX=Zos3{kZ zqv{oa<61J`y3s1f5^#)#<6cRd>S-`8pOn5Y&xSDW4F@BEj)4>J;?{?)r*504zH&X) z_`%p2RH@#^?jG}Ccil$vEpk-sdfc1AL9TxX?oDi|1!X`5P!s6jsBsBna*Jur{t<<_ zj|BT;pr#q;>bk2WIWqNzIQS!T-}k9c_L+N3@KfVqh}18%PM6&2EN{-mdp=kIv}QDT zuPmO%nrtAgb)(aCaZmTuG!Jt*PvuM{9o@R3xI)b+Y+JWXoQpt7bkmJag>-W!eKQff zP70>8r%845eZ8bLnoADv0j*KhO&=zHm2OXZtaCw65Tp|R#xAv)cQZv)UOB>a!KMZqvM$8d-_b)>V8 z;&d5j)zKp)nQ7_L!B-b0sWTBR3N?DYFvdE&Ri8fI@>5~`@Ow$NJk2!4`Uac#RiuxQ zx>@{KWI0ZaunIdD=y%wXK;iGOZ6i!y&g{c>zQb1Uea=q-v%q@bn8UW)`mxCA(+{0I zv|}|?@MDp(Gd+J3K#lU#%2*9lhY_TBc7`I5@lG~sBFmm#X(nG#5!?UpG%!ght~pdzI767 z)=Bm(>Lh%c)oTLR$;nwhbyA&VYhaLs-3X|dX5cOSbnc`rPQx7Sim8)rBsrjU5-ZEa zQ@XKJF-p?6V!rZIF_)_%WaSFGLn**$@ zVS&{tRh=YPZ}gIVDD^C|FNq}C~J-~w-%?Ni|W zNOli+6g&Z@gDkKNI4wxSO2B^`(SEV`%aLrK0#}y41%A+vCjCrJ;$A4Sz#o0VhjoSR zJUM|&Kn!s9FxAEFu-32=ux?GXKHIHQR}xmKCoix|{riD;pEZT3%oO5YNngOrpeb_b zMNdk@2N0sZW3d%kjM<8LU;$VNme^2!8h4(=yvTbtGl}$nk!8wzW$lZnWHxhTK6WlL z$)j?RetyVnCR4e+ygzuAulwawvQP0nC)twoGJFL(D=oPz893gTP77&$o0#|dJ~I28 z4t8$CS%-3H5gSK#s1QZYA0SN2OXF&on#dh|0}-s>%==Vq z$BdM;#hxmqERoiTJby87aT-Y4BA<;t_mf2Dfz2qC_a$?YP2TtE@LwXYN(3PPFyu0K z1Sa1oSjq2M?5DR_rQGlpKczhV9iEkefaieIYhEH(r<66U1VmSpWQ#>KM9FBcX&?o! z_$lbgCfAr(Dd@&jS;<<-*jN4VZ$t8HKv$3odV;=SpAUZxD*^u>N%E&%&{r)nTS?O; zK70S1R&q0~tL%r2>U>M>aQC3Ng!1+TS=CUt8vW^s^Qh!Z_QFY&D51+x<7%8Lrzv(@ zp!4l+*oEiYJ@M0OxYZ6*(b>4)09AJKVFU0dP;+bfUe)4pR}~AMwf(>;Oq0v!wcbOt3A- zEaOMf16?-$6kEg81n=T?BzOjF0*?7r zvRBevZILG7KL}Q+`OI#vr6qfX&j_-+leO$HvXm;2w*m!@af2&TfyACwJ~@;7C0y49 zO+ZVK46Xq;0EfGVslLknVcbPsK4r~XmabwJ5P`FrB(3pOkFASSyO2h7zD#mAep%q2 z-GuEnw^!Inx~*p8QI_Pc;T7^0Q}p81B^Iq&_z_)dE7^RpTdrNr<|tEkB8SEltnu`6 zAD5iBI6LMf5lVf=>u+B1R*;PU@MfqAmwE(I9JapsRWk_pD4<{WnS)(^59@KDzvTXh zH|36$`Y}9d;qCjTdffGG7uM7pO$Z(KkF5yE%&K7m;2?a^Wu` zt@0tMVI?5Bi6nbVK=QLwBxkSlB$hr(MY+Q6%a9&9L05P_Y3Xo{dD9brie{%){P+~v z1F>%dgTZj{rw>gHD*?^2pYs3#0+r=gr)cKCX_jU9dRB9fy_+>JyEct%@Os|2HA0SN z>6E4pKF#qfJovQ7_^D*??LR5S=gbBsM0jgq*xOqzY(I;T!h0xAzeV`>SgoY4ui z^<}?J>zntvQ=?|aw(Jr62< z!Ix#eu=bbPa?CAWZQf_6N=fZPUrv7q$38XedaQA4z}HC4U9V=6FYNDy?%afS zVUoEK?OvDMjSTQ5ldie=ll~rrcAqUh-rEKRew2{S?1OD{KWkK69wF|ZK(|$tmi+e^ z>nrTDl&FR6Y^Avyw;ov?^d;Z>0FGHCG4C@~v89;BHt>DV)!spd=9K#0x#gsU0_5~6 znXmuS-uw8zr%CCL@pzQKtoaApMDF2YA8?*4^ev@ZT5e^_W2vwB;U=|S7P}(Qn_D!W z1ZLIgGA^~tXs~m0n~L9Spw-vhK0tz`ZSmAha#Cwo>6m}!O|3WA3N>HzysUSV*Cb~P z^Bjkl^HNfSKbHJ0Jdrv<7e-mB7eRRdl!k+IqkM_O%b|Q}6z6EDc44E7IRbnNF=oj6 zZ9Ggoi}OWL)B)1V2-Cizf^{>cn)=_+M?mfU%n$ZkeZ`ieUrCOU@!RPueI)Fo%DHib{jCNA?iYO4`|ArDW{##CBVaGZ(C2+sj&z3pNAiHP8EmY02!+c=)R+^8*jA zU=cV198+5gcF}-sk!B&)J;*a9P&3djq{}4ZQ%*&Q#~XsK;dS_2H}1_FtOr<)){NX- zVHw7lyn1EAb zKaTwr&^;KlG#J}=2k7(o=_aS8b{NIv`V_aK){hp{Pfa(;*saS21R+vOy@P(tPNC)~ zvs@iEG^ul~!-lJe^&2N$C{~6&5bF%~bIYhgCw7-yq5h;E4oa%f5Xb~j$QEffBfkns z_#W=9g}JM~gCu#+zsFrmCjj>`6veC`tp;@t-0z^N4wA{A^B6K+@;~R{cL{=WC44Ur zzn|dLo~Ui&*)|+mLJ>y&#OfNj3*-PbJJpu#FK82HYMX}VXSYkC=2fO=+9tY6_Fj&J z(QzW(949njkmT(x5dmczfIw+7tn;%~4n-4Sygc~@<7F#}+UIE(qASGhN!VFh=CjV0 zdJXGrJ-9vs^PbqZ0{tE1eb|M6Jo^xSx-2}^4pVLSpJ;naK!AP9M2Dm`}t>+Lt!rG*aCrOTXlJgTXj`xi)x(K@Ptb z*vXQ1f-_H)o?dFSc?7KjN^dK+WBzN=`x~z+hpi$^I?N&~P^0oGRlE!#9 z13go$QB>KtBA4byb3|s$pjJuHlSuT$+Q~! zO8$2!%@@iKoO70%wVy2O!J>76)w_z!GT!?Zse4PG?>$}I1LV*TJl@>!CC4o7i_Dy*XOg#&g9kk+rP>~2Ts|fb|Kw>DdIRp8!P`wa(o|A^VIF-C zm#Vd<*on8A)%g7gZv55lTKcOy>#JTd!FE#By6*e`6YO`b$9PyCUekh9*0#GAL2?n{;Zrzn0V^o(4$= zNL_f2{S$sifj;W#fynPa<8oDL&C3|BL*-@DtZualpWHOoE!acAC@>yO0Z&QpudL(EurG<_+J<{S zDE%j;3nl?IYbECx6=q8@JE8AqO%DZGKLFV;$-l9usq%Q2i`&zw{R;71AE%BqS}%$F z^`g*Ktpd<}6ZRkeX^k1@L07j^aty+6_HiLADcQ-DPcMrcLi#(h{Wo@BJn}wUv50*X zuan>#=1*n*%W5fh0dQ6YBJtB#!qx3ClDMwN{UFE$D}ZCZmE_+ka$DqCMSg`n&3;hw z{-DV9xV~K^{T>M)Qq(_UYmsZT?`7@p|DF!2cK{s2_DP37vdf0u}pdEBb(X*o*!;N^iaF3eR(S}Yfhf_r=K~ws#Qxe|Gao*Tmr6> z;hOD->zQSI)+6LtO5ttU^WQVLn$Nt+e^I!bkg8F(Vt)bxH42Se!bV|>JgZT-P8Dv! zUw#VronR##bMg$r!%otG4;11Ws39mx(Dt9x19LCQ&G%Hj$`(+cLAF1k zzWX$M*BjoUJO_};y%HW|L_}v0wHqU*L-k3nb)42{*UUU&TudcY&!UykMVzErWWNMl zBrWQYW3D6z8NRDg(}Ik|==aD(L$_gn2DID{Vq4`NWB8PNfOc(5II9n4p6Y|yhH>`5 ztiict*t}NrTC?1S@4C*p5L6uk5d4E&LR~=t2%a^n-CNDY>(V^Kn9#O?E6CLh6m_h0 zd%|?8-4ok6R{B2NFMt?#5WjtshdUXurMN_lxd!pI*C_3NMv{V!c<(4Pr>IiKgmw#w zeJ(jE$un~bGT+SGatdXY=;r-z@OfmT4E<%Z8oD34&(?@nO|EZcacQGVXq#70e_e`S z5{DS^-F_t1QSeR+Ui@S4!rlY+fp0+RAgefQU~8C~>u^s1he0Jzkn1>5bEV{#F{*?* zBDLQn$@=m}tb2mwh8Wc&C-M}flQ8E8!cU{hw^9&Nm|9lm?x?IMdX<-Z${5Bec|%xT zZX_9HjEIER9BHJLtgOc3tvVLPiv4J?s_+g;J^>~xBPi?ei&3-6QtuNaq^uumvrTGE z%NC|4nPq)SO%FLbh)_M%Xcm|Qo(IQ#_-R;i{OnRQyg{xiz$&$ba%QQemh(exzDX^k zoY5fY%E#r)(njS|6{{x@O*c!gb3yr8p@wm)ev5i#eg#=NC8@8>12e7Ak|f<6rdCPH zQ=w*2&JOj%SG-!wTSCt&%JXV~feD7*3^~r|wTrU21~`IN`?!QxFd9TnCqdz#@65n& zj`Xi!B=($-Q)9n`{Tf&e-UeI14)77!4ZZ{V6!R;#hN)>*D#&#Q*aRvBTYl=_O7bfh zRf27i=9rjFutLqRSo%gKP13_DR+9`8oezv^@Z-`a?BCB4_qe(TjTjTa9>Ch zeq6G{;qH9o)%RypR=FPvHyU?y%3D9^I*ZH-f6(<6{G9UEuo7^7lO&({;jE-|@|PxS zEBYz#WhUo?6^#_{DSmaOZ-i0t6vo_*n;Z`#j zI|pn4dm(dpLrf`4jx4KU)Rs1p^!oDBDbmn$8b?Q(xh{<)*XR%{*V@>PKykS)y5n@N z%G6J;UQ>=NtzyK=z-nfunU&2kgti-pgNqSWyqD%gnxwsB+eH5GoL1j)? zd@0;c&KjmUm&4D=Ii`$Ns4eijsf<~uA&vOayw-4eiHR~Pq()tMxoXCK%C*#kWIW5t z*r#CQy{l+uO=Na8<|j@SYU9o!df~?HtD}Y$&dSkoEoncobyV?|jycs$9rLT7YO$S; z5K-H~Z`h^ET5Tr^yKsw*!OyqFYMSQwbq52$!*VFvhz+*I=NhjmhzNZbk5d#R2Qg9FFSPN5lFKyuNugZcC&ghzXf9XOFmp++ zVXBs1gBEJ$AnWnzT&0|kY*K04xdgw$ZKoc7j(QrV87JW9WZa^h{1R={4(^5D$Z}@R zS#Q2uPR7@yf6SH1HO<`D*1Yh7)tvnl?9*iYs+F-%!D^>*$1qWMs!!XEqHw$M)lb6; z=i=zMnQXrD(J!pFsb5rW^!rmf)ix%0U7arn0fB0<6nWIBt46y97~dq0tIyu zwnbttMc9YL_)rS!8S!Ox5U*5$J4uD0teSn|?4VGB-0YaTj9&09gty4xdcNrrgMHGJ zYE1f+3R1qFak-U955CR0%otOl7a<$T;U?^%6@uj1yF4+~@8iO$fF-y$fu>Rj?(5wUM@ejwEpd=-pE1NrOdzLj*53B23A8sag7y{2aNgCKs*R zpHWNaPMah=-V~l3ABeCYvDQa{5c`8AR&2t3t}qfyn|pi1=4EhNC})^#T9UP6Mvd@N z?3&fjye@BRy=e%QLsu9zyOqVeGAQbknY9ViX4C*%Kbfg!5bg}{7B~tV6C>GI8dXYJ zB4zq&qe`V3Zr3(on(8d?R3xQjC!n}X@)Bs%#j}eeehW}icE>2(0PHN0#j}g|nqR_` zsKkrT@Q=U@d!X>}ip4NYx{C6fCTUmM3pQCK5Lt?E&u4M6+1)iCdoj>e)D_r;w~egB zPq&S1vBR{24&bg75#+i8qyWcQ$L`w_&1V7MgY5rHM7?1Sm(+$V;Fw$x)HB6;c~p*C zpT@N-EIUINaLVd_Cp(h-^CQPVSFLYUigfsnCEuwa3p^vq^^J(SN>MLWt>P&@N32y5 z*38tGqH?e(=GJFsTA1P^?0cCe1@(<~J$=ZT%aNOrxTG!{h%d7{&13ANFa51?ohPhb zqwd|zQ-sR!`;HH6evTTtPNuNd$;?eqs)k+$R%0i^_WMyodHzn=a0$8Er=*>yF0BYH z>1ZR`kbC~N_ds2Qwh@@|e_<6`>Fk0(vu&JJ@TD0TYDq>zbGaaE`WN-(hlWO_hL=_f za%oUJ_SK-M1%k0G3h0k(S`+6MNovIGh@YG|t(N^Wsf~;(UQ>z;Yh?6u*OD!bm~)Jg zlZ}k--5l`~e`F~b5ieuw?#0)@>tGyyj(81IO4qfs@C&w|yfR6$RP&0J&l(#|$S}Og zMNuxdiGStxlHt#qVJ~kr8hI`0)0Eq#rE-2#qk4k=M#oX&)3zm1qSQagjEI!sjd+Z@ zvze(yb~Chia+FMO%Iu?JbE9H{Q+P$4rydu1y6|3=)aHKamug9@?sitoo0HWbIoO;z z>0tba$=H@g(S zawO>TRSrSEOa5SkCQvItiO#|OB#_5v3MjIqaEzgOj(hWef z6nRtz7m4O&UU+IiO=;yim(;e`oW2G z;&i~>1>DowdZVIg>Pc?v3+Aor1>l`Zz5}DoVe5i62{4 z_e>{e-}2YpIiv6^ygTP%{G7_ruo5UWjU@B^D5V7TCg@a#Ui((Y<$fw7g)ya`q_#C0 z#4h%;)&G=IG>=<)u+Qwn>hexoR-K$?wSq*;;qxYTF4zRNg0((sX;=x=+D4KuEw#G( zwlSsX+s5?TSFMG9)VfyHg0m~^|O0RvD+U-ZFD`@XMB)`4UJ#-{uudK}}{_H57D=j-1 zH6vfZOJgj=ehp}cUnA)q=^6cw35g_%7`T zR+zzbUVXN?*5_Jz_viXuPHadg(yh4f0L}!l4QYCib!VfqRH*Igbb;(u$pGx{BKI+u zSfzfU?0Zr((Dtto&95&8D#amtWPl-w_AvFDJbfWxr#8Y29@Z1fuw3Q?E}0H z{)jf8QoW`ezP-zXa&wv7k;lF1q;!QX%E%`*;FY!7DER?nmk&+h5 z*+iuLJR??l+*CsbbYvl*xkPm#|6$Up3v;~@GPa9R&pk;Fbv0`C98J6hw84k59|hCE zZ156z1uO$A!Fxb|Bl{t?hN-DoGsx8*+zUqCL@TpRwxo5VOV}dK$D7O6#B_i=(1YJw=s zXK-s$=UNBBxssV`RQGCdhz#!W|JO;&A%IRV!h2P1vrbB`Q%&~<$w@U*A~%zI8_TJEW5w7EF+R0)6d z%GL>T)vR+Cz38IojrQuVrju_dve@R{VAQN|1yX&AEoWwN z>s9b0+j|}P43hP|xG|cdUyF9UCat>7~-Y$iX(!`=su0(}z_bg31ldbh_t3VaDF)}z!w7T73Bec6NJh}7r2 z_aw9ryD5HH*XUgr^)P=NLFbg~jo(C`5&;?P=UBfg@ zq1@-yWpftdR>YaP&+2c!A_(bk=G?S@0KKX^dYvuVdj0SoqQA66`zV$=z(@=_9w(0s zFzUPSl9M;Ha`iVcOI;r1x_b=`1B?P=z&J1wL=vVW#AVnTrsf{p3qWK%FWG@(rbz0| zERr}PwK}I0?7K+vG}j6>!nmL_`~{Lf(1MI&G>BvXLkKzX>|P^c=JNl^)dDr;9KxB_znCC{sx{af?VMssv{e{ur*B0 zK-`amA3%J<=|bk%;WTCpwn&{Z^gOtn{aHXwh~12b+DY_U2k6y62L*m~=Nd!UIN;pg z=22|xHQTUd89^?7BCLWWyt2D-q_1*%8@9%^RkyJ2<0!Rq0W&3}y^H<66x_lxyXv8r zs(S!ErjT-Of;DsV)k8UH;}|OGgY5CFxgYAP-g?O%^#7}y66mxHoiSH#-fD~q{S2{sxexn0NxzNvz=v@<<6FBcd2j&-K+QyJ95AE8#5|Sh4#t?9#C1Rre_f}$*;3K^DfUm)K zz&X+0`Q@)B*Dy6_{tVY86=FHj#FAqci0|)k-zJ^j&-zNtT|C(Tt>qN9JR@uQ$u<{4 zL+KQz@melFh=oQ%l2sT*(uns?q*&X_?4hi9cP2$oNf^$;d>YONLFCo?_AGt45fM9+ zOTC9`f_iwX*^6K4`lJGr>zlK{U^}V#YdE%i0NbFJgxBFPKCx+7|+e_TtY{N12PBK?eVr9Gzzo?nUK!bpy5``N{KC z`OD;V@_bO%rZY1iPwYp5ehf*c$@6hsJAS2Y9h?@Qi88k$vk!ThJ7(?il}B&!6Ri+I+f%Ohm< z7;xq<&*I(+zLJ9bjOso0C`6U;4PJ+U9-nvuTm5~HPYh~gjpdQp8m1-{_kkOErHx&+ zF_U57YQmdXNgKuH4ojr9$#VWEqfVql#d%t(!QK5K$-M9X%Urb$z`QX^bZt@$^9jhf zMfQy15u)&CE$<`kbJFmB=7-rhHRj9MOF&T{mRdoWc7S)V^}r)F+i)xP+OT{%Uswtr zpaa+<%`vG=(;$~ZO&CS0^Qvu9aK91Z)i;xGWo68W@J{9(&Mgx20Biov2RJRJV;`V* zhLhZv>GU@G3CElV=$+97Ipm(jTcZUa7f+I=P0iLF=SxmGnB>q&jb3Z-+?B+S4W!#> zqq_SR89e&mDby11PJwrCi+7|iZ-0dF7**07k@^@k7;oQav=Lk@)RbXbrQ_w}KG#|!D_H0e{Qe-0qs5C{>v&nf?dDE7 zF@`z0zYpR$N7(y*5brCQJJzV)UB6~Hv^I?trN2emub`+8;(1!Iz|GrxRa!rYr)l(q zfA#R!kNx#&5hPD0dt!oZL1s_z{lwaz(9{`YJqaIc#Dz-Z$_U)J&+$obEE~3G`g|v5oGuCg${SB|Vj!DvZ8r%n5YB}yyZ=enC7dM{Zhd|K))KT*pda#O}|SD>-UJ(AEn;zp>_|+Qx6+gT*$c5 z2R?U#l8zf%jm3`}Nsh=8j~kNo2#@bY4HY`P+$m{~6j7bd$l^5DBF0CDR7v&JLJpwk z0~A}9U7aNwAB)$s)Fo_Qki`>>?(SWDG1zF(?jXW{0k^W%_bB!-?0dl|Fa{jQPv`ua zQp42LYaL`_9QN|o))T%h$9x`t>T#oVxJFWQ1qpSe{y_33^1!oP3MLxeLv|tdO2n>{ z%*TxQ=DUfk5|qWaxC^uR1wUUF%I4}eOyJrCW!*eAF4#`5xzBox<%O#yb&{c{ct$_Q zy?VJceeA;XEL$kgcI4lO{LjqOJc@6+c@$r55-gXDNv8{YFiFm(uvJZeg>B0zy0Aw| z!DOR)SuOkJ6ss%jKhpX!qmxtV=gLDh@yBBf`aEeBf%vU-lSfVW90`AdJ$&>dyD@vrp+W#lJLe+kT~m zv}Gx(t+iNmT3VvJsbo*(^>Ay6o^Di$)Q>?rJzRH{r?=ra5phrBhIAtWUPX96>^r~+ z@DO+mOaV`V8Q>-GI_TKJWrnGOo_4%X0k4Bg+tXt}?GEe^lk{m!kZqCXwBTC26>9pS zsh$%zT-;A`0~(9>crY30^gx4~%E4*>Dt%Qs0R5-n^Ku7kUT_Zdo6@4zOVX352FBzE5AQ-WLyH7yaY z_wM$RnMs?`%k?t)sbcd|LPh1(dUmx^h>l9J)GRWqMEB*Ao5_-fefs;;Mq}?)By231 zS;pzJR9cL^p@O%`g(nJ70U!=Y#Uovc8;B%{!d9@5s^_M!jw$a4PhJPGhhOf6z%kqN;=R zb!4kyYThQ^H(+4LAlE$Ln4Jb zSE1Wyvr4g*pqfzq5L;18_|-ORWO3M60%yhgQ^It~_BU(|Q*(LeAXhK26np_36DrAb zXot2)^U#R#bGY5~lDuabbsaX&M(Mv;f?FtS=lnZFRk;8}!@6*5ijHx)E z2DOO5Hfb;8qUexz#$<)0UIfdVS?eJj9m* zY91q*D$gnL^HE+eN6DV&FFr5j=Z{w`JnMH0DwbEGyMx4Ja~tYHQObB`4dcSK&P_zX5Mv%O?HqRz=&<%<(v>J3~BBlV$7ujbtof)X>WgBz1*t%#eF3 z2DK?RtJsL?#4nVcB;Ll)LlNJVtXUn8EHb-94s6X?K##kX*y+HTqfNp6x`e*ScAd32 z-vPg~K(|$rU$lQwk%yNvktpAT2?7`m-UVvZ{hMSEJ=m6DPN_G%$n%TFRr3`MT%TV` zNzBVeM5UYCTYLJ|djR5F8Z8YO{}fxX&Y#|KvI#)%IBY^vtr=`F^g82E zt!66oPN2nhHMZ_x>mqYsW;L}3mm0Gtc7ITolYIwE9(pE>;8K5NGXc92-fDJflB%c$5OO2) za-gR0CibTIce>FWWM9n=@qR)1E8bb*0-Y?8cdf=QAMgZB9wWZi?Lsv;`^P75sD{SPuhE?32dA;x6LG3u$24HEnBR8#5##oqZ7ze#2cUD zJd9}1lGy{;V?hRZ47}{aS;I=e`7M%s;)k=6vN(sl=7;kxf`62zuNf&(N09IRD^ySiYHlk}BFY_}db`+@W&?4-kf40{TA63hU1}v5e(~6w~)9 zs#rQ0C;7`+;y;RnGJUOA%MlWu!*F{EmyRy_YFTwsJzC=bF!0qSTT*k3D&rg}s>>@x zDN2|9h|&(GqSWZM>hc=bt3iSvT{O;*)Ql@^+v%NHr$)&eNx^rN#s$TlQHAHHsTN!D znx<`l&)mkIUzEE>4fI*plC2x*S6{>PYG)G6|`8LN0=oHl)wF&qCjy^4{!?J@nv$e~qCaPJ_uiQx26_6g2W_L{&s z%3g@#bCkXI2h(hqbdGiiwx#Gzs*CTb_B9ZpeaJc0Uaz$eE#jE(lb5sO79l1X2$hew zW;2zPC)v5ecFN&{EZX?6{VOnAWgUR6Ek)UOxKOsLQJN(E=gd+q0Z&yk0M9x%@f>oK zdCR?Eo^kqON=C0O)U3}Odt%mc;~7q_8hZrxLqIq3jF;4P94Muu?L@q00%s$Swr0Ph zEpg`%&zd6gzL7sQwpg?9Um{$grXgfRL zA#<)u?LuUMRa0FrR4L8>PUIQ<$@sNKn__MjXH-}Tbkll0SKVx}7p21Pq|vixy1r0_ zHKGmujmp-&K4(3X7)KeM#CZCjJ&7rgpVJg|5>srgNz7XeQWZ(kvcJ`xd@D&w>7>QE z(d)Hu%k!PIq#{EnEh9}uX1~RY&o;}?{;j7o$El21RjxP5EagtT<)hp+B<)X7FYKGZ zKyVwl6F)~e4J(0i!$>m2k8(=XS2?}*RZiFW9JY>f1860SB>!zcwRHO4p1i@RK5iMg zYMWY#y%lI}eT?mI%K0V!GpS?^bJ}6q0eY3|#Si_=`kP=75s56yXSc&CId`E&!wdxr+_s82Cy1JD-z1b&5E^i2Gm zV$iTa#W08Xg&V*E{5}9a#o)gIs7l)Aou?4J_H6*ZZQgkbd4@RVs2gU4ndbnUG3uV# zhod&4`ByN}2H*@-dN#nf_!T}I;2?fZU)Hc<`!aQOnD~V?{}aFJH(Q!Fq$TsnlJ&uj zAsu|{UKQ}|=z8tj(Rb5JoQ@ucEFHyoUr61%Hx=8_(>D3(=vN`331|V5K?l$o^aoBo zYgnLqzJ)l2wY>|!CxA~qpKk8H9bM`B*0Wyw*7G4Bm7RM23_p=hn~h$aY@NN?h>e^} zR_gl#_9CF`{5gExnWxK*TPA^^@ngi6=(xGfF7VH=qpJtdSjwS;B`b~1PwqF&{7U9^sY=u z#n~GS1fx5+T(@Hn1^0pnK?axtW`KFJeUbORgm-an0h(kdaFTqA`wNf{z6XcEF}ZuO z_qT*f!}yIDhy%&j@KzGLIcN{MgWljaa1R(OnXhetJoKu!s=NG}nXh^eyXzhu&cZSH6Z{Q=?xsvY8BiWn1eMn;Uh19Vjv9*l zAutuZ09Jsl;2Y`ons|Tif}&z+F_(iR z&=e$t6p$)aR(m@{-H!7va5oqM9sm!447qQ$R}yC8oD1f6VcieA8}>5n*TGucZ(wf( z+rcN&bd5JD?gY+O1hoSlfhPGIKhH=ee7MuV?Vz+|t?}05;L3GtygA8pWto?n#umP-n@isarOiQz-?eCxEG8D zx`IXyoegst7H}rz)*t@y@0+eshaz1_$*!f&nxL#U)@2w`cuJhJ* zyVp!w=Zz1F`kGPhd+;mJ)9pe*6;MY$SWhQxgR=wZ47!6GKp!w*P2yYLZtjG8agPI2 zz%$?l@EUj%Yz4c)e((zjlErU(GZK;>;JAIz9JB!)Kxfb$+yMH30bmfgb4{-e-bwDL z3ZofpKn%DHB!WhumHd$l%Nud_0|UWrUu&P2&&PDHqlGVRqGke&7}`1dIR= z%CK$TxbRGzGr>m6a5nZ_S-8!+DPcLzHDEp11h#=);0tg790JF{pTLmW+i8VyDCS|8L-W|0WuMfeO;2k=kG#R|gnqd11S7#i;BoLIcm`yHC15Ss1a?ZpkG%^L{=oS+Fdkt%0^y)Chz51Q z6`($70$Q!{?(&v(C)|vCD0l#j2U9^7cn-V-mV@G6|94HsC*I(osP`u@ zGJ$>IM{pbjO*FTe@Ah_zjK`S-8iD4Z4Y)@B+3o!xp)bx`z)&y}JOnbp6JQp24!i(f z0k6v+pLzQye1>x$P>J6FP4YeX88}G_aQ_bel8imxx@MB-N6$`D2fwqEe7uKBgWn!+ z)9?YrxCPt}hJfMH>2vQw_hu>h+#BouSR(g&8+*SaV85j9^+vfpYwp_Xjd4fa`WQNa zkzg!%6if%Ri}v}f=WC;)$eL--#D?n&J0 zW#K+b<0_nufYZ%2NeixZp3@e)Be)jmJm&_!80~H7zEAG>5{@%v@|WJ|sOJgP{;G$Q zEWoY5@^9ecrnBblFTI&TQBOV2XarV(T<{Ud2S;UaKErW^$=vNg6sQ4W!DS#}&Gvln zZg)a5?(4xIa36RS2v`C(fL-7K_yh3k!u{Sp2_2p|TU=-Sx`P`)A20w60(VNUZ@pu^ z%W&?OJ>PnNi~0+vcM2mmr~+a@0%#=Pf9I_iel5;dE`<~J>)5@e<^gZ7grQuH1Y<#^ zT2`Z4)sBqt2K9`o?dt18yD`UR*jz~?JdJb75MUBVR+4Zf9`63@e8bbPqYBAY4gHPg0 zJE~zvz0!>Q1d&@q;Vhcso*5kB{(Q~cAG~|q;S;7Y2E;G~Vow22u9@_sH`WvN-|6K2 zBt-!tK_iMN8oRE%d&t`%vIWj$a1H1JdVro1{R_kPV4U}Yv0x(54mA}!3(NuYz+$ix zyd@P5(+wo$mxar9hqZ5MSE=kK8XAf89CU755VP)?>4cwbAXg|i;G5;O!YL0gal zt_3|oe{ee(CU+c#^;0-!0)I*7;Qu^$2`mLGn+c9qk zchu;o*#-ipfmvW4SO^}JcYmcq-o%*;HiHkqPVk9D|K`1;?m?V~feskIW1j>@*6A^( z9PYBR_&0Ce$RwQgJ6TavZs(o}8p;0Oygwz}NYKq-Ft`Ui03HU9f$2cN3*c3-O8)!1 zx9O=7>vOzxV)8Y%Gh!XU?TlDIGs|50`y@HJ%pp%# z%R#AAUXxqpBlJT6iXj+fQBkD6wkMlWge}k>UC zAQdn17Pi^!OgLVRO-Y)?TJ_ex%*m)C8At5sc!&u6bhS@GJg1}jZPpi0tP#HwnHeK`x(SVvXWLoq%OtKU;7LT(g5F_ae1 zG&LeuL&BzLg?8wK?&$r!*chkhuzKj~a>X3WoY`23?KpxGCJD7vfupb6t7)B$14o;h--(e=^U;&nj z^8TnUoy?oiSPUn`3O8M0sR;|M7#Egn% zoiC|IWwzhgA+tI&T&=HW-pO~cD(yjHUbtFZDJl}f)mYw7i7MnW<5;{*SXImY^kOO zI&a%$6TR-}p5je4wXkx*@~ckPVXwHG6-Buk>KJ1x;T$}#LNx=6h*x2wxLiZc<939w zOjT-E_*FR9ROg#Fn3aMjkgb@nNFDFuJ+XZcM=Hg157Mv1@tPbFmBq)Js<&Hp=0u`7 zC*B4kpcXqqZ_%!n8jyP?b30HdlQ%RG#Pd;;?OULjO{7-QJjIRbY8G*#mYP>dupY98 zqSUsG+G-x>px^eAUye(!Imi~$8%%#X4--FtqlI+v5H_!Hi&nkF(7I|Z_hS1vr8BE? zby*fourAhB?TSkRFYXdR^MQ4{o|>TAUml=1+MNhROA}E%SNX@nGw9OKvr%6tjF=tEo<&Qzq$?w~$1oPVW&jGXXAAj%+I*gKISw&+9~W><1b0`f8ZQXn zLODq>0y#2Lx+BeE&Fs#BX?{&y6r~Z4x@d-u=#LSYf;m_#UiIL7bBQnsw~&GdNX1LM zh3yo%12Q6uSkqIz=r@Qk4#P1DV=)1fF%>fqZ>{)-!jz$%rYQzq@IhgeKpBzJOI={r zA#4Pz7?l~)_UMEj=!ZcVg^8FUHuYAknp+8fhGUh(#B!D6`1e(O`>0EDJYvz8fA3Dq z^F#%^+ZlO!%F|b^YxE`j7DF%`qcI+ntTBDnVTzISEDuEi6hk>wLmiQ)KgF5Oggwv) zo9pmYq;VK22J}}gb2i}uEXP`G!7l8_QJlm@+{9hcd;rCnjOW;R$c}A=*$+wc!WV^L zqBufOUVI#&PVwtcI0!>A5@RtD-(d!3V;;A6QA?;>2=|;58aU^%s4IavmQlRt;6_ zD~ZEQJ938SRjthRzsBp zp$YX!Xvpu>-uhCp!ryw6`pL6wZCmHG79Y)4hsQl>o!&6=uWlvRIchm>;8T5$n#Xe} zkNEs7G?HmH+#I!^a@Kl0hnF<++@{+SB~bx25rsCQ>O8fn5l1)*6EGF=n2#mGnx~d4 zxQ%c(1IT9YmoCT*c#Kb}y^K_i7i#NM*)bIHm9est=V@q>EY zp4Mtp;Ys=_*zvKdF#V4o%ktQ$4$I`y^(A>X+(qbaHJ`I$TOs!BR@WM5nRgY*cz_pp zhYVuG9yQ3*QFPAziqjSRP*@zd4h1+n^q4Em5HvBSdpOm zxdal9W$|B4$|c3)1htIP(DL7}#wo^SmZl&TZ=t_ocLs;V0kx%3mar14Aret&iq<0d zAQ@&q!Z?h@6wJmFtixvP#4q>_$s+oYI?B}EQqF^1B^zl@P9fIABYZMr5%D@~#m_j3bGRld9;GDthVUaY zyyxIWW@JN7YuQm&H;WLLLv_?c3v@z%jKVZ5#1GgmT#i$8zDbyZM|h4muzjE;hfK(d zoRFU>uXX6S+EXzrGF>07(G7z!5p%EtKj9$G;Ff5UNIvW)79^^sr~k+FeApxnLNLmR z>xrC|Yg@_*)lkyvk%yTr>yf{bUO*ByiV`Q)VB$^_;+s!~&lWmPI(Y&oS4Hn%ak zS1#V2UUNN4d=lp&Ypz#G?;ySY-2Aj^ni&=4Z?#LuDp{C+XD=lz^7b6W>HSh$PgC>@ zVX{2JPz@2NhiEI$8I}Ix?Zo@=3r^uT+{Aqmbyj_CW^hvYrB%h21Kuc%;s`|zM4>f0 zp^r82oZ3e*bTTCmF7WlWBy5Y0kR3+dNSlc27u24`|67OAa2_@h@mPd4 zp!%F;j+$M(yP)P*PFUU-)np~$=M0K10XKZufk=?dNc@}@luk$=k=M#zx8=n9NsnP2@mBHT z8f_n!MZW8*m&Y~cBx4?%J#D~@Rr5N9J)@4!*NZmjf<736v0}^(KFR{ZrC5b^*o^Ji zV?DgV8QDBdd;_U?4aG&VWrP_j~{iOZa5VEWGh)`}*54ysv z-|-kP@ezyxu(`qm2F|fce$oJO`?gxsuP)&THdsT_+@wuOTcI89c&Bg3P9o|KU;TJ7 z?v7d}&vKT?g6L|}b=U~m9P$%sM)7#N?rA-}qkivXT+gJ~Qjm%_$l%64fgEDhJvG!Q zN?07FP!<(X8P&x5d+KSkDPdc5M?c7YU>NBbOh!DGU@ho^`h)g_-w1Eu4jw?R@|5&X zd_acGyhCI`4zcyVdRmz*#ywDL+7~isvvvM~>Y^AQ<)hpcTQ=l{KT3juN)OeZMkB&j z=zt#RkD(YVhCNc(oAU^lU=4o6R_w$+9L5P;z;&dErjOOmrVF=_bBA2Tll1ddaxGvh8s#@PAw})c8 zR-clywJB9)?Avgr$734iVhMi0PvYiNHFvw?grE1Uo?<$!XLUL!FI#|1d6!}?!KmaS z%TGmJbW%5)>~Ou!BOSe`4~hSChil9;wWFCSEBh?IT*Zrdd5|B4Pz=H1*)ugrX(arf ztJRIZ%o%{e7=}?8he=}0b4n@+R_b$gpkkWY6k7-?p$6)s8QP)?`aq608bmruh?i=t zxtef2wqQH`>tbue4&r7z z5lh(vj(*{7JnBF83y(|Rr=0(K2DyIa4wRp(QOuc{-oSW~_0u|wGq9kP@O!KJ_58B8 z_!W=&kG;h_kNk7I%c8{psy;e8k8|dr%)q;m!(INp^O)f--r~|*HHT70Jbp{#TuV{# z9o1t;4{{IImOaS5N&AbY@6;rh3xv0kO-z5UdWxa%RW<&dmRWRqulm^kWPzKQ@}AO2 zK5OlJp3wNti+mT0umbC`9s9)F59Gs_2(Lgk;$9<7hHTtT5n&(I9p+mmopbVq!xMSo z2NS`lgxW|O@DpE9Yn)aOljEAdVeSCLVH74n)}N=6ej4u+zgF{CHpidWI=WqBehMBT zoACa?XKSTsqm>YmtZ32p+6Mcx2zAo(DFdw-CoPzLdYn_ zXxc@46T-ftjjo+GHWBW^0sM-KxQ@Fb!bQvTskR!DgB>&vc^nFhI2SF}GzfiB6mmG1 zECV|_d`dCz^VWlk!abvADv=^IqZXW@JSU zjV?%)>Hd6bU)BY=7J3cQHGC zm%o=o>t&u~@)BfCQ4UnTMf?YzLe>=DkjjC|vcb$-jPugUnLb}r393fDxN7=|!;k60 z2*hv9zmAf`vS+G+c~VWr&iTT86mpo(vBEE`6>MFmts4JlemB++!2wvwV9?; zfXoZ-$d26bhA#>s5Wy&q%EIj{&5z47N_?eVQIrP4J+J1Qr)@zB+R#3YzUbR3(x!Km zmGSG zA`2{T5!(2kL>gCKwwL<*0nu-x+a>$}RdSVd9V49d)n6u(4!gbh$ zZPTqV4T zJ9vOpyu>@vHBdWbW+_JYhJ5fx5K5yWs-ZTb(E=Sr%VJtPa}wb+#A6;luSPCndO6nM zM=+eWnCAaKU*#+hy^8c#2@lfhdVOH>%Ri5xw5m>3@hC`ZV#EaT<)S0Hq9^)bfE80* z>!}!zh+o5{I0q~8pa6nIN(sK>I)qVZf|h8Dj_77Zl+=V`4k!K&-(wwi;uwT97bXsCS$s_rj)irG2RoqmE_%_7%HPB28zL@ zd6#nt7how?VI4MMn{~akW;&V2h%X@pFQErh?MD!5qa#LPA$}HR%4s+4L8Ult3Gecn zpS=rVCXrZCGpx4dwK|IDU1p@>BV0qs-0-zH6siS#RwS%~ny7Mrj%XtkadWY>M%K_ywHGP=SOGN+4Xk57U|&O$lQVi>~N} z0f-YlD{IHi8HDq&6hB}yc49w{;S7F5G9HMI;o2fIOIgYj_zL+^2t`p6Wl;&$5Q&D2 zfa2Zv7XzwjF~$PsEWs+Q#}@3sUh%k!*3#uRp&WSg)QYaEc`0?}FzvSG*qtDUY4;|L z!x%W*!ff5B^~p}4u)hX!X=&|4Uyj~hz@wKUn>bljGsL-S+K05UliuaoUKGy?lNKPA zV<&^f{pwnXQIA>CXoeWnt-x>s(%x3N8l08PZ;3}@I+kJ+_TvKX;SDl|lChw;cvX}9 zuL)sGv_(gBLof8lU<}6?OvF^NqLwzoeu*%L_*hHx7PTU@QZA2}Rx41Zlk+^q!U!#s zlE+#bp+zgkT;?ysdRR!nahw)LB*p3!!uxoP9QEk$C4D8DM`}mRj1|cpU?3j~ArK*` zfU2mAMrbAK*3ssgqX{Qs8fN2rEX8X4h@Y?%`*2uz*5y@F2p{7mKEPgy0}t7e8~ITL zB~VVd)T4CNMugSVb}7fi`+6G3S{UsLchWmRHR7zu314xfzIM~BKv)&E(E^<@0OK(Y zvoIf%D$1dF=No8o?uW;u4@#B4zD;+i)h0^ot{9Cf^D)r_arh1ku|cFXr1*P+@GN90 z?-FSeZh?w$w05NWTS9v{XJ&Z82aXX<1&NEHJgT8S7rgN`{83aSH`liMjU}9b$(V{6h{qhv$3iTz_Oy_hU&iVj z*vN(aD2Ng$EAF+_@}w=U%cNs*^ryw%t+cgf7bd^Kw-}1in1~sehs9Wh^{~XNR#ZJM z3I7<4(NoNMjd%D6=Ng=PkV%Y-(T@7HC5%NUbVU#JLSGEPAjFA&t*NEN3(;CjGR`yS z8t&jRUcpvV)>Wpo(V~rfgauIq#Swx~R1t66kc&4Vj6rAg!XOOAXiUTmEW~PT63^Od zJ=`DGDvFQov|aW^JjYtGx4l-* zzLW5X_}E?xxBo`?Kva*_^5p(Ns53bC>V6;E<3{iCAy?NK)+XDkhQ(^Hjb0ICml%xU z7=wwJDyDa!ZnBJUCDvdaHefThipw3Sn;aoLj*~cxi@1X8NJa|o;|X4fULCdE9-g(+ zKX%$-%72Df(2?_!zu4MQ>*~^&rLrlChR;sg0>x7t>7>o4o4s9UZK~lN$w>t!WUF#8 zX(iMYu3fb0W^2MB?b5HV=|U`sW&3b%;%_kw6EFh|uIZu;FcS!mK(-H`Bz3HEf%pop zBL$D}RCMo3%R)A>q^nllH>3{v?liiXN#(^sVWhGNyE`J4K*ITQr7&n-{i^q70k5C)P{c3t2@^m>8(wE6XFi{*KC~w{G!#8TyBW{hJh{Ghz z!&>Y{BCg>fGKekxw4P?ED6$|_KxI@zE!05+G(t1PpuMQuUt6S52I{Yc*v~L0Sp*Ew zl0&mJ~gS%?A~=;57>ic>D{{#-)iH`kxWj)EX;!}buJ}c zgN=~gyB29$_pbQ(trqHkmC0LhT>a-5o_v>ikMOUn|6&Jf!T#>ioH;PoCw)wcqfBcs zFE3=rq^!1X5eEipVc9A$OS28hXZv(rUI*bdNZYFXC=v&01?jAKF-W6RDn}zuo+ykG zsDPTNC%lGekIfE*{V)WhFcH%+AIq>Bo3Iy&qC}k5*z+afmwy^R5~WoXH*zwt>rR|j z$!OS^`Vd;6HQJ*SCW(qe$;!rC1BX(Y{V&g@cv~LBWjW$(6Anux;}Kp%X(~?;VgxOg z1qcIB48f>oC5_-@VAdp#MqBj2K#agtEW|2oLjsP9fKggq^C{sgyo0=uSY8>WG$UIj z?xV4%6T4zw8#(HB)hI2%wHT8*ZQ%^|=`B)QtGUHuKQ*h!I9i+IGMPnl;3bkqYrf*d zXswj}N2ZSmmoa=l*R7y2S_H+U=4>EzL0=5Tczh?~$I>jlf^aQ1VLSFhEECHD2zaHdIAkZ^@K7} zRw!igwR@Lih&Uk|b?y zH_~j#g?uQCQmBLo%luC6tx3dp@f2^Nwx*PZoMOvVt-4X1una1pDr9~;2(?AFY1&(} zEwj3#KZau>=3qHCU^^0U3};2T>C^}QBvjgP!bcW(AwL3866H`CHBi?IoS{unj6aB< z;T^QLRNjym1;y!^RCL1#t0NLoXo?ubitt&QZv)3jmqE-L0oi!(=td~QmMjT5j;#ji z1O~~-Kbgz^PChFO<^z1l;IN!^Z&CU`D@3Kc_FSJ`pq&2L^bWoThW;AcxZA5XIu@7`QF!$7=;Wly+=;xFYScZfiokHbpV!FufE%U@P|FD9(#O zp;h&KLihr2@DUl>a}E^~gtp!<4`CscKsi)~JOk7uZGh(JD4NgF%H^9(DEliM{S>lS zWjga_LuTP6Eo+X(Xd|~+jtmq+Fe;-CT3F%pv@wb~hJkelrQ@U;*Ud)}^GYunwEB4ZFnq#gzH4Sbfd{;h7hj_=ilG$B3vIO)K>u-x z)mkrOJaeXCI^r=G3$e`FBOhhHB>n(-d4$@X@)EMbfDa0y7|I|_c>bW7&h5LWwNN&- z7XCnUn%%1hhqFjs%QmZLd9BkT6=M~%enJ9H;3^*Ajfh*X`FrN*Nlpbu71;8U=0|U_ zYrU3a1`~#&8Y0mcG3bnMFc@Pn1#`rqA1RXVCOm+nIEnMPf?K$UCwPSqP{pDR+HKSS z8yXK$7L^czhG>Nj=!HQTi78^!Mv4WS#r=(1q;Z}(myv{Z2|CU?gT>F}4V=9h~uR z6aIn6$TF4!0_kgffO9_%GPoftyu_{@+C9%9ga+p&UlhSure}*CJGC;ow-N5d&)5$+ zit8}xv3`mek%=ZYp8{GII(I^MX+D(ZVEvYttq=T zMsi+Z)*YncEz|*=Y2hsn?9m1qWeCGi6ZOypZP8Uk|IE;Wk%W^W?~)=&7h@GRz`{QK ziVL_Yn(w8nR1TAuqbB4k@}2{7742I#1LUX)IVk_rs0nUeMOme&xV%>@W7Odha^Gw~ zD)-Gsq|Fd)1?-a_Wi#s`Hs8)7|{Bve>R56!t zC>FWd&&~GfRlnvbgYi!<`{}+FR_^_>(|0#7Ba1SJNl)MsZsDF4 zeSmDpbQ(k<99fYUB~TMlXoc?RhoKlRRvn}kwVrS@EbK-C4&yjZ;R3GU2JTqR4{41R zPkD9z*ugYDLSQjgiX(?SJEzkxX&=uc6-U6*3=__l%FElP1kBDDFUQzO%REuLj zf|po(RLf_Wgikmj%Z5%lCZssirBGhnKB|o}YY{ep$(Acug4kw%~qxIp<=a@Br>gCU22jwBtA?=Vv=`Bgh_ zt|r`sT{wW_IE4#H!d*PWJE&q=q85@zJ|timr!EBJ?;}TEC2AY!kzaK}ODy+}rJv^~ z8HRICV6)eNMh|UzD@0eOWGjTc!G&u8r!Hc5;c;5?v<{xseq`hwt5rZfv_*eRz*20& zKAglgyby~{Q>pVG!NGzOC=J<*7D_5#vtKl&Zwy0a=2f?HpW$?E>?8gKr*H{3a2HRk zn6sRvhG`=y=^!71Pysd27#+|DahQmikbfF&E^R3RceM4j_2YkeSng)o|L^l;;+9ih z=h^RWSS8NO4vTi9*fr4y12G)qF-CckFbv?V}Pev zc3n#}{Rx9m9#s*EC^SJUbV6SY#Tc>RhBnw-O}HLgu@j%KlECyKoWuoO5mj$$0Z#A6 zi{3Z28iwZtjzHu=KKLO3f#U26_#p%}&;%XOACttPJ6ejl zoA3}$;396|9-iVYGE8RE!3+7U%PHCtrD!$cXvCsF#$h&AV+W4nB2w^fvRHjjE2fy@ z@;$AWOVcT=hMpqo4=u&-9N|U$h9ulTGVb6W9^kPRbDt(qd-v~Tj=AN5cEq?rn1Y9R ziTB7bm0ecMe5i#R`3U{skD@4!5R|hXKhzE>W?kae=!H>;$4cx(BCg{JlxZA|*3HKZ z-85?vH$q!<$3Tq1bS%IcY{h<@5Fx2F*Z;RwUhpv6^z>CSks9J;Dov+hgx;dfADWjK zbYIIVN=l(q5E#p;c7gSOZ^B7!Gj^ zIp>FzK zZcT_Ce+`7+J8ewbooj{I@Q!g18)kFL6CUr`t=|%65VCh%?ht&#Fi~2hzNe9&Hao_f zQ|Ltu+M_cTi--@}E;EjB3??BvZ+iymTr9>aY{V`c#0gR2qZZ}=jBo@G9g9DS-@|DR z-yr1Gn3+grvx$e;@KFnP4Pw&C)|kuK{<@{f;dfe=`0Tom(q?P0-cB)2@qjD1gQs|h zjB{nayGhX_jADerD2s}yf|{sfc{=GO6tgXHR}91$Ove&z#y%Xw1>C}8(a%}$Zf2jy zrb1r$qGm94KGM!j7=A`t93d!=FyWp--|9b?@Ov!6Qmnvgti_MmgrDFlJnVY5*>*jX z@~d#O>ox7ynETXfZrA-4quG2iGW0-y497&w5DQd2(OgNm5xbFqBRG!J_zgF4AFrSY zq3KOczwc@2fLx_0sbiHO;$W0UD5{{Q$fN6_N++wiuCH-2`Yzym#CP}}KVT<*5qVs7 zFONHf^46JQ?40+Br&?8A8DwOBAl4RA;e$5+XLhv3|bY?x;$>_D1qZ`984$~p9SRBZrn}&RoJJKd8 zic&26nfL&H5iTD3d-E#cT|C1FaC;D2R^&k;ltcwoL!>B?RUc;dA^a9YF$&``1v4=R z3n1@gxr}tRxSo|QsV@~z`fJ(6!fbke`&Uaj8Hq#L^n%=+{$V!#eCPn?G$}yG59vhA z#!{?>vn|T@?yv5wLrkyWagN(trgvw3%&v#kz09Ip_;0tje8BS0+i=}%j<(qUbhAjY z%u^3h0>l+h-OLubOum~d`M5x6xXpI6gS@IeH5=D>70aP#aWWb#XG7D6+Kg1*hp|1W zyboh{(KM&-Z;WPAcC}6*m0hjVNYkzs67zHFlhW>xxPn=-8oZHIX2kO$@58vA>Ag6P zbGRm=3_VbBwniB`Ex_|v&@P8{*owV4hO@#yx1Ps%Kv=dqm)yoPAlZrP8u1h6Jr^;# z^~S@rl@yPW3vvj5K2pd14hj%|zDK%_v!(sXD^_|_>`!mdcHHnFf=A1y2l)(+D^|X| z;X%Vs&k)AgmTtD9R`6GPygfqRk>=KFT6ORa?rSI(p*Z9n}({C#i#o7XTUHf{TZ=&_FfKDx0Uq>+#z9@|vXoKEX*Ft(n#hgOC2tVRy9Ki)7 z;|=WVDbb=3f~}AKTr%vpop=|1Mgk7v2#z5Ur@(z00`z1f$B&B52LUL7P*g!Z;afzn zX>=y+3Awl*24NV+h%rUF3(`yEyUwh!KHN%ZD65c8 z!|%*aJ zf&myKW|q=pj3tCCu@0NC9eZ&^xQ6ISW)k58q~Z;1KXC>^7UV$z6hR4;7M`W`c4m7* zxk?vOxk_)+0eD-LPB_w$7zeq^WNSrf@&cEFTgge_DfZ^Id(AGZ=TvG5qbzx72T`-E zKGT@P!X;RPP1uF>AMbckR*!CXhRNR`e`;eOuOpAsWTx-I@#`F^#PXVtih0sYrEWI) z10C}Ab8;m9Q*lA)*6cS<@7~nv2EluaQr|=b>g~cBGc~aKhV*RSpGmq z4>7PjrMuZ;eR;j0{YU0*6PL^D!QKZ6f5ERfg>#^!VY@_{g{#-9 zk}IMyTB04gqL*k{M~^Yb5>CM^$RTm_NEc!mRxmAx#H}Sx8xm*TtD^@w8LRej27raV zIEFL$O_Zq5{+&wr3h&{RK*0lf7Ex^pd~{9{jH>OZX|uWO}alDMIh3{I1YJL`zc{I)(@Fa zn0y1LgS4zd-Wxm{=~wWDi4e%E+N%ohCVClijHXTWaAP8Km+%5pNoQd$7UI0MRX!?h z?+7~duf3xTvD`aCN#)*Ah4jSfe4}YKUnxmB(>BB&=L{d zN^h>z7Moh>&(iK#bn6Jk5_~|WU)Z^@QS6D)!_w|pREo*+sEit@166pn*1MYR2)khb zWNG23jq@Av7<_pzp~+%HYdv3}tU_&KvAob#78U#$-0Y}AeR(e->la0&dTH6jwKjT2 z@l_i=r|TtF<(F(*%OYmQHhRCb51=3A*hEhFpb$2S#5Npp75L>Ayo8Q#J96nP=i?lT}Z_0;Q| z#hEM%xr)5un_MM~>6(zM)FpM?9=M?u_>G=hF?%sD4&yN$^RXP8@iUI#JZ|8j7|@H2 z?P;y;r6(%JrqkRd1IKU)ckmQ8h8mNh`JCb4LjZyhf>4ADr7y+#CWJBQjJ_C#ahQgA zSc#3;iG#wspFY>TNB9^o@D|Eh$~AC9HW=`R9|Ek~{q$iC3+&%`f&2(2tlQgkh+ShLAIiI)`&e% zZv%(K2p?{NP23ZGF;H|Jq!0A`{N|B+n0@W2ytJ4_hLv@&o?kJ_Tp(LRT{MMb#CdxW zIYbZi9LlUw7>_AP8)$A#AEK{N%%x0k!2z7Z?|25MiyV^hK_Dukv1m6`ukSqRq9V2o z)$@rD0vEN3CGK6e=bwq4OiCh*hyXM(Ry7ga=;Xn?nsmqb<5)5Hi@>F?O!sUoC!f zne)#_#rm=OY4b-GZ^t2=z&TulJR0thKEexpgsWIPP9I?gUZo6*a#;O<##_?rh=e@m zqDY$}2C-Jt@%k0T@JnK&pbV;@4w@p?ikzssDdte(37CaNSc@&#jUzaNYq*b>V%#Ks zZ_zy0_+T&*3^{(K0%;Z0MnkkjNA$cVdUVzDiW!sj?8;2BYO=n`ev|p(R?8_mKlf1K zI_sbrI^i1(#3(WDJKgkrR)Ktq$wgR(Rak2s{Z4mL%%6#m;ta0iKAz(}>^I0K;Df>_ zDLzis$CynCV-Slj=!w1qB5!rZKdw_>6ez8GwaK7 zak3x5aru}Wj@M2!U8%=(8_Po{LUuiTN9q_#7f(DNiy$u_TS>YW^3Tckl}lLK_`UzM z_OCqh-`AEInUAQlO3$j?w;Hd~4=Kj<2W%Rw!B*@?A})#GHB@S!68?z~aDGTV3-0i= zmaWk%DyBbiX;ei6v_e;Wi?Nu2g;<9j!hNkS%$tNMc!+2C6CaS_5%o!AK@NO{{Nn6d ziX7Z7bDcgV?Y;JA?r_NWI-XR%*O_ANI^Bp`&!p^TdCnG+zZA)Z>9$SGljpR4Tv8Lk z#&ffM{_+P|`rlvPYdzhAx2?$a`b>rT$;}`2BgXy5&gPS)eY>yt0w<9QOqvS$71fvkf^kmY8q^%(T(l2mu++kQ=;PCk! z3gUhKy2G%zypiMg%imAyU5=&|wu7IwXp=rkF_xtARlvf2oWNz=6yaNFAA3#s0nSf3 zM&O35@Di)G=x5CU!V;)}YN&%oXo*;KM_&xZ1hMKTj`8(`vQ=?2sY%MM>&2CAdR^lX;W3=VIb6my{4T;ReXseNPUZ_pouF#_p3w_~k;dZB+?OB~rp zId+e5P9Q5z<~i=-AyV-Y>7Sr;0;33)TdNZE>Pq8BU-E}xugFI+N8Y*bx(GU;2M50O;=ssBt&v5$Z*yC1(hqQc!`XsUm*Wmv zX?5IjX}6~La{v56{0vI^PyU=bpwBWZuv&G<)~ouYO%a1wbcOt7yS}7x*ea$UiY;rd4!`j1_{r@dhoEegtD3L&{*zUP22;0;mthN*2dPK zfusHC(UsfaUw3GfA5iv1%k#104viC7>!16g)1T$pmWdEF){=Z8+P`bddJ|4LqW!I62pUuZZ=t?72nBV|o#LyZ0PWBKDa6 z*w{e03rBDkSCN7jqWf|7?#v%JV?%y~oTPb>AD-5p;~c$aDdH-qhnDDq{uqJpFb^y7 z6B2}XqQ1+#NB9^o@d4^b@<(JtZsdnQileO1PEbnjAVV5Ts0-S<5cj|)5p_b(=OS;H zc!dx8$cj6mAD}CUeXuPY=ajrHZE5F}uA=EF+Pp@yXac^&Ow7Ro$opsOx6Mt2+mV2y zIEy6Q!Bc#Mt~lAUB9}OHnk=O}VHjjbT{Y4O)I&7n9f6vW7NP|yhP1tiKBEVvU8*pe z$?=$sX-MXvm}M~5_(Py4kQ$h-=ujh5(& zK^TuYSc9E7iW{QOMG7(5#FmRR*KtSAOL{h?j!eRp4%Ycg`U@xHwcW|4s7^K)WJMkn zKrxYgg)gQiVI7R%9Y>KiK}&G2%d7e_vp-=RWFyxYQn^1)CXL4eEXR8Mgx$g|N$=`^ zgHV=;ZQjP-X zOX}!wr$OltTIi-QYd%&&j-=X7dKf2h88>hjsrXY&xKD*HZ)PW(FA719q%uj1BLw9U zhH8)_sUj@j2khga=b65RyLgP3cn{UxiL5J%JeBri&LgrsBX4fz%lzhfdB@u+CyHGU z^=A=-m^BJ=V8IkpIj}&GE`_|VwL4FeeuZmKTd%YylY_{1vGmKa2K-#sBmJa#jzw~u z!41;;c#4mZg9lu)IN7qmfG>)P7mqpm8xmHp%1MW`7206{yKpDc?&uBq(Fc$Y5o4a{ zNp|@YeTPNIRDEmOtwKwCIN2(qIwH{!Eky8BzNy}X_4&;5vkxF10(o!nXwmDb9_v4i zNyjZi=Mg)u_3h6piYf4Cqo|mcS#?Lj?eTU zSGTNAWOhw>v+>rlXEdrBCzvD02wfoke2maFQSOBvKI{dv-{2!MWaB`Gtd+WxYJ3&) z;)?Whq%0x0*|e4EBevur?Kn$1Mh*G0&Oec@kK7 z2*+^>7jOmJc`a8_zmkiWXz*U&?-%CfWUGSesD((>LlhdJDO!j!9~f$|oNyhsVlNKk zBrb}AA8F0MPxutCVav%Uf*URh@sXhhzJ!HQ66H|^HBbi)(E^>&8-wGgxb!m@6Rp5H zY{BPi>|pw59Kvy&5`l_K86{P8R$L;C?1qyqC%%FYzD6Mwu@V)RBZ^xpYdHSg#S&+i z1!kjMd{(qT4B8?VozN9M&A2<&|-PMb~i`Pw^J^+++h{ ziQOg2C`4$YI7%ZF;ix6tRF|V>E5cax!~hJ(XiUU3%)xT}h;71IbLnpWzrOATu8J~m z;P@HfX#WH+DB>Wf$18}VpaOysigFP|L{w0eK=7Wo#5FbV7s3nZrahsOx~6XHnyYRd zkxVz!a=qozHG0OnR(0oJrwUtzWXkIzMubpo;f3jIdh(QX6AWjz9hW@y~qtz zU*tQcEASA8FQ0@esVU(h{-k!BmwS?Xkb5`xPp7WW zm`5o;kL&mjKf=Y&g&TOR6S2H>P1A>wWAF;*p#UrJHa6ou zRsOsTvUJz36HMx1UuTGOn{nS+q8_4lnfNun#drALIjNS2i+QFARW)wlHXgu@zMqWa z@L-E@khVr3f)IiTM5+58(jy?1^jHFy0PrQM-%mr|f@3iAb1+ur)RquqnX|aIBpCs1 zoAI$C2%Qj$aJ+yhL?Z@Xs!m;Tq*Nav@4;HWX$Lr4g zy1X0n8(vp|$EfL`-iI$*tF!f_yBS5=6Y=Pcz8C-=rtB%#td~jiFbA(=If}6nf5!Xx z2#0V|Mb?*f);-ckFq+fEMICs-7tP_1_UMcVB@HCTHKTcD?c`WjFx`K8UFTLUyExv;R^Pv!<#q#-yQ z&%L=2Ngj=OxN(5phnS9R9#brV0SibApkLmx*Kc1Q%FAOZ(+@E%RNIdnT)YYmS^|ad6jE(Cxnp?V4={AaX zp%nXYMHvn`Vx7?`bZ1iCsq_~4eYgcs`=J4Jr&3>HfO_DN9IGE`8ipVnx}i6MI2O90 zr`xs@$){ktv!J=WZ7x}CytD8I#x@&FS|HXKyQQ|M1830N%V#<9B2)Fi-tGVwE*60tdh23ndy`c!Ab|6Qi-`3g{98q zwp2gn59E&^?Ww`wKp?uPCxK#C9{=b|IQ=L}gFgPzpX@5tp`ARk#!{4rxhTXMY{o9^ z#UXg|VV@wLS80~?3eY!THaf6R#_u^k(Z@Z(3m6&3bY19UAupnjI&4Wv&55MmthpWC z7{_TrAh+TE(6y&N+uD0v9iAhu03L~{hF^Bp8(XcTD- z5|M&5WFi;zIdV&M3ujPn$I3yuy45|6@)E8#>Fes7iTajNRoB%^$@ft9369~cDhd*B ztAs_W58ti6$p5_*e>zBljeSmgu+(ue-w9@agIy@Y$2g2r%Id^k?9=DDD$nF?{D24e z8Lle7lgzEWr?huRsxK}CIEYnuuJ$L74WhFrF#^#@R3V+I+Vq{P^&PAARyC30XYX7+ zg|g|GjRnq<&SJRO7k6S?QX4}h&c2Csx4IoF*UU$x_RcQ0+GvF4XorsKR+vPZ@ua=c z7yXfrA;?luU8KyKOgaPeQGijMIUP!T3+wPl?8JvSsM>@}M(taqRXNc=Hr}jrkM_JE{ccZpC86izw z*<_VpSNYn@CzQVi7QzsPIOX+%T(Po9M_~e{VIG!XCDvjSwqrLwR*py-tv5)^INecB zyn}mqh^KH1<15cRA=XBHRTe2HtWKm6h(;n(k%1hH!7G@7`6yJ*7iCNP9i&d|#R2>s zCvgFn@eRuHJsyOqrBM=LZ`?)yMn_knk6DzAH%6(XZqmy>o#H~Zrki9WChq&+zOA29 z{6BqLDfh5F+qKnW99J)x^KZMhs_xw-(4hZrce!umso~N5rbXdYxz1hD5@nd~5q#<4 zKp?_!lu?M$s#Z^lG6yl4iCm0<9tNNr;1g9&Pnm5kq-YtccJg|&Ud8kpY{0wNi9I-{ z>cmK}r7NYXi(PsruNS$*?0?`U^oa$%lm92VhZ+|naiY&nyLZ)1+r2SjxpGcPIfij& z*)3LD>+!O&(!+d>`KzZ)MJL<%p9dW~~=! z2BJQ^(HKoZGcZmPE4zD!GT9Z~P}M_JclV58IswV(k4Y*rUK~cA^QCyOr___Iec9aE@S?i zcw3!JkT7Gvl0=#8cbAg;c!Z~LeUWMwwcx9s#7k54mko9|=ZA@sV6^&x(o%egefSs$ z@fnWbI8Lg-B-))WQT#`6M?ExzFPf`^NusP!(rCn^H~OMK(lHd-7>?1HtP1)_h_#w@ z9iCleBW2YW*~0WzRo+LwG@p>#yRkjM3%+QHcFrrwGLzl{N7N_kJXDhpa%+l zPCSc?xPt4@ZM|E>ZE9qylo-)Ydw+S!@HrSws~;C|72l!)52Mwr0n*pYvj5@Fv#dPwMVKTHz;1&*2iTqa5Gk0c<__Xi*yt(L|k3 zlbcp|(gdVp2!>-kreY2X@O!MqW>ucfZ#hDG3SZzVZsNaj50Bv*!|7&t!bhDLB&`e{ z&p1eWc@3mwFfuU=BQQp#4(97LUriY-8yF4XogoG0ZD#z4Cvb~p!-gk(RA~lnFa1E8 zASOf5*WjuQQIF!~7RVX0!%AgxFtRZU6EPLDun^0z5^L}Wb!mu%r+z|u7}YPu9%ouV zP3AOFKTT!;hYI?~!{$$>pF#7VmhxAlhss&ww2I1<5_5hWEkmrtT5Q65_(0vulwhyp zq^EHKmvD{da)s*p5}QECAI`t9+oyz2eV~@8Q+WV3{sGW zA;`uEl{Acvp8K;6lf&jiN?a1y5}Wft6rv{@qlG$_Lvt&f^hM|!4fP<#BMJRfn_PLr z8bLY{y16x-s7Fc6CFWx_eIE*m`r=VBaihAK%T7i=YNYB&@-vF{BFBj3S)5~LkyGS) zCv%?IS;Y*O5c_>n&d&{(#;dYw)NrmJE-ejnb|ROtxY)3exEv+ejP>f$2%7AFBh?)o z4iWXh#gEAKz{Ss0osp8$>LSJ8;0}I5^?{33_mi?IHBwr5=|PM3)ZY$TZ0S5PQsNkZ z+?$Ouhdu?w?bw3@IH5X>mO0_Ncnp^$HVf2tZWzspBXb)0JS;{rHo=K~id$(r z>YXFK2-c8|eVgsFGkmOYovng0k3Q5vcoC@>gNc}m*;tA#*s1K}B;Ptu`W3F>2Fh^< z_wW!;;g(EQfV!%5oG`TO3=fr7Gsa7jeL1C@)Uolh-8@Hn88>kU58&39YfNg@1leo( zk+wlcbU}CQslyhISn_0KAQuzV?1?hcT1mPZdXe?0zQ`7)w_z7{<0Dmn5_{ImDsd7! z7fT(U#2J)u=lw}SXVBMEI3Pv|wqh5`a8NlW%K-Ch(r@uk+{Hr}{n&e{qRFz|@*!=G z00bipQHVtnQZX3AFiMThlS$TM(jvS8g*8}@ckoC28Gph1D0Rvdsc%?ckbi?ac#ImU zeCOZ?e{@77dLdQy`kfS6(@1AyA(mnV6xLz`He)Mx-~(l!N}YS2)YTTxqoNruY2$BZ zI+bHzceSBbjrz{asZzr*L;JI`k%&Ra#%N4dGhgNSy=r}}py(~E!)Dmku~#{}QA(=U z*T+P?zWz?s>+2M;YJGh{uGiN!HFO$BTCM|rSzkib>&t`q?E3PgOs_9*=fP=wwpJL^ zF-SosM&oywhe8x%6Lw;+YC1zwt?Q(EeU%gS`ud)z*Vj+Ps`d4RT(2)zwQdH#rls@P z3@J8z$_DZW;V3Sm9Cz_}pqevN5=_rD-Wh!1Kx+hoR=`a5&pk;Kkb(>h!w5{kG%Uh$ zyp4C&{aF%jeNMWc&*(Aoafay&xR^q7o$ve=uH$~i^i^C}LuYg9%hjo7%RQskPw6x& z@pHP1S~6Gs)L-XHBO_29n=1j*br6+w8!kes?h84Z@th~qyH2L;Rm{XZgQec={#xEVjo3%GpcFR&pi9}ciRj~-B1(Ht9tXfGE=J*HOhg{0LI3DCxQJ?)?Y=U)*@}Oiqvw`5w=a+@ zhTp&IzgCz*B|^`%dg|mNZnCp~kwkcHrtI0DQfHlfNpUgysq6yTQ2%fIiTc4iI{vaQ z`#HT9OSWO&9?DjM`*?(>aLr@|IE$8W3cK=oZSSmMNoUzId1QoLe2HTy+{PnF7P~k! zLrt5$!d!K6{kMCn?}{Y3RWDwo_f)CG!FYC0l|z|LWiRLQO95#ist>Pksn}C_t3As( S1^S+ "zu-ZA", lcid => 0x00000435 }, ); +my @calendars = +( + { id => 1, name => "Gregorian", itwodigityearmax => 2049 }, + { id => 2, type => "gregorian", locale => "en-US", itwodigityearmax => 2049 }, + { id => 3, type => "japanese", locale => "ja-JP", eras => [ 232..236 ] }, + { id => 4, type => "roc", locale => "zh-TW", eras => [ 1 ] }, + { id => 5, type => "dangi", locale => "ko-KR", eras => [ 0 ] }, + { id => 6, type => "islamic", locale => "ar-SA", itwodigityearmax => 1451 }, + { id => 7, type => "buddhist", locale => "th-TH", eras => [ 0 ] }, + { id => 8, type => "hebrew", locale => "he-IL", itwodigityearmax => 5810 }, + { id => 9, type => "gregorian", locale => "fr-FR", itwodigityearmax => 2049 }, + { id => 10, type => "gregorian", locale => "ar-SA", itwodigityearmax => 2049 }, + { id => 11, type => "gregorian", locale => "ar-SA", itwodigityearmax => 2049 }, + { id => 12, type => "gregorian", locale => "ar-SA", itwodigityearmax => 2049 }, + { id => 13, name => "Julian", locale => "en-US", itwodigityearmax => 2049 }, + { id => 14, name => "Japanese Lunisolar" }, + { id => 15, name => "Chinese Lunisolar" }, + { id => 16, name => "Saka" }, + { id => 17, name => "Lunar ETO Chinese" }, + { id => 18, name => "Lunar ETO Korean" }, + { id => 19, name => "Lunar ETO Rokuyou" }, + { id => 20, name => "Korean Lunisolar" }, + { id => 21, name => "Taiwan Lunisolar" }, + { id => 22, type => "persian", locale => "prs-AF", itwodigityearmax => 1429 }, + { id => 23, type => "islamic-umalqura", locale => "ar-SA", itwodigityearmax => 1451 }, +); + my @geoids = ( { id => 2, name => "AG" }, # Antigua and Barbuda @@ -4346,14 +4373,14 @@ sub parse_percent_format($) sub convert_date_format($) { my $fmt = shift; - $fmt =~ s/G/g/; + $fmt =~ s/G+/gg/; $fmt =~ s/LLLL/MMMM/; $fmt =~ s/LLL/MMM/; $fmt =~ s/E+/dddd/; $fmt =~ s/ccc+/dddd/; - $fmt =~ s/([^y])y([^y])/$1yyyy$2/; + $fmt =~ s/([^gy])y([^y])/$1yyyy$2/; $fmt =~ s/^y([^y])/yyyy$1/; - $fmt =~ s/([^y])y$/$1yyyy/; + $fmt =~ s/([^gy])y$/$1yyyy/; return $fmt; } @@ -4526,6 +4553,16 @@ sub build_locale_data() } } + # assign calendars to their locale + + foreach my $cal (@calendars) + { + next unless defined $cal->{locale}; + my $loc = $lcnames{$cal->{locale}}; + $loc->{calendar} = [ ] unless defined $loc->{calendar}; + push @{$loc->{calendar}}, $cal; + } + # assign default lcid to aliases foreach my $loc (@locales) @@ -4766,6 +4803,27 @@ sub build_locale_data() loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='gregorian']/dateTimeFormats/availableFormats/dateFormatItem[\@id='MMMEd' and not(\@alt)]" ); $srelativelongdate = convert_date_format( $srelativelongdate ); + if (defined $loc->{calendar}) + { + foreach my $cal (@{$loc->{calendar}}) + { + $cal->{sshortdate} = \@sshortdate; + $cal->{syearmonth} = \@syearmonth; + $cal->{slongdate} = \@slongdate; + $cal->{serastring} = [ $serastring ]; + $cal->{sdayname} = \@sdayname; + $cal->{sabbrevdayname} = \@sabbrevdayname; + $cal->{smonthname} = \@smonthname; + $cal->{sabbrevmonthname} = \@sabbrevmonthname; + $cal->{scalname} = $scalnames[$cal->{id}]; + $cal->{smonthday} = \@smonthday; + $cal->{sshortestdayname} = \@sshortestdayname; + $cal->{sabbreverastring} = [ $serastring ]; + $cal->{sshortestdayname} = \@sshortestdayname; + $cal->{srelativelongdate} = $srelativelongdate; + } + } + # codepages my %ansicpmap = ( 437 => 1252, 720 => 1256, 737 => 1253, 775 => 1257, 850 => 1252, @@ -4963,16 +5021,138 @@ sub build_locale_data() } foreach my $group (keys %groups) { add_registry_value( "Language Groups", $group, "1" ); } + # output calendar data + + my $calendar_data = ""; + foreach my $cal (@calendars) + { + my $scalname = $cal->{name}; + my $iyearoffsetrange = 0; + my $itwodigityearmax = $cal->{itwodigityearmax}; + my @sshortdate; + my @syearmonth; + my @slongdate; + my @serastring; + my @sdayname; + my @sabbrevdayname; + my @smonthname; + my @sabbrevmonthname; + my @smonthday; + my @sabbreverastring; + my @sshortestdayname; + + my $type = $cal->{type}; + if (defined $cal->{locale} && defined $type) + { + my $loc = $lcnames{$cal->{locale}}; + my $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateTimeFormats/availableFormats/dateFormatItem[\@id='yMd' and not(\@alt)]" ); + push @sshortdate, $fmt if $fmt; + $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateTimeFormats/availableFormats/dateFormatItem[\@id='yyyyMd' and not(\@alt)]" ); + push @sshortdate, $fmt if $fmt; + $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateTimeFormats/availableFormats/dateFormatItem[\@id='yMMMd' and not(\@alt)]" ); + push @sshortdate, $fmt if $fmt; + $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateTimeFormats/availableFormats/dateFormatItem[\@id='yyyyMMMd' and not(\@alt)]" ); + push @sshortdate, $fmt if $fmt; + @sshortdate = map convert_date_format($_), @sshortdate; + $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateFormats/dateFormatLength[\@type='full']/dateFormat/pattern[not(\@alt)]" ); + push @slongdate, $fmt if $fmt; + $fmt = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/dateFormats/dateFormatLength[\@type='long']/dateFormat/pattern[not(\@alt)]" ); + push @slongdate, $fmt if $fmt; + @slongdate = map convert_date_format($_), @slongdate; + + foreach my $n (1..13) + { + my $name = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/months/monthContext[\@type='format']/monthWidth[\@type='wide']/month[\@type='$n' and not(\@yeartype)]" ); + my $abbrev = loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/months/monthContext[\@type='format']/monthWidth[\@type='abbreviated']/month[\@type='$n' and not(\@yeartype)]" ); + push @smonthname, $name || ""; + push @sabbrevmonthname, $abbrev || $name || ""; + } + + $scalname ||= loc_query( $loc, "/ldml/localeDisplayNames/types/type[\@key='calendar' and \@type='$type']" ); + if (defined $cal->{eras}) + { + my @eras; + my $idx = 1; + foreach my $era (@{$cal->{eras}}) + { + my $start = xml_query( $suppl, "/supplementalData/calendarData/calendar[\@type='$type']/eras/era[\@type='$era']/\@start" ); + next unless $start =~ /^(-?\d+)-(\d+)-(\d+)/; + my ($year, $mon, $day, $zero, $first) = ($1, $2, $3, $1 - 1, 1); + if ($zero < 0) + { + $first -= $zero; + $year = 1; + $itwodigityearmax = 2049 - $zero; + } + unshift @eras, pack( "S<8", 6, $idx++, $year, $mon, $day, $zero, $first, 0 ); + push @serastring, loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/eras/eraAbbr/era[\@type='$era']" ); + push @sabbreverastring, loc_query( $loc, "/ldml/dates/calendars/calendar[\@type='$type']/eras/eraNarrow/era[\@type='$era']" ); + } + $iyearoffsetrange = add_str_data( pack "S{sshortdate}} if defined $cal->{sshortdate} && !@sshortdate; + @syearmonth = @{$cal->{syearmonth}} if defined $cal->{syearmonth}; + @slongdate = @{$cal->{slongdate}} if defined $cal->{slongdate} && !@slongdate; + @serastring = @{$cal->{serastring}} if defined $cal->{serastring} && !@serastring; + @sdayname = @{$cal->{sdayname}} if defined $cal->{sdayname}; + @sabbrevdayname = @{$cal->{sabbrevdayname}} if defined $cal->{sabbrevdayname}; + @smonthname = @{$cal->{smonthname}} if defined $cal->{smonthname} && !join("",@smonthname); + @sabbrevmonthname = @{$cal->{sabbrevmonthname}} if defined $cal->{sabbrevmonthname} && !join("",@sabbrevmonthname); + @smonthday = @{$cal->{smonthday}} if defined $cal->{smonthday}; + @sabbreverastring = @{$cal->{sabbreverastring}} if defined $cal->{sabbreverastring} && !@sabbreverastring; + @sshortestdayname = @{$cal->{sshortestdayname}} if defined $cal->{sshortestdayname}; + my $srelativelongdate = $cal->{srelativelongdate}; + + @serastring = ("A.D.") unless @serastring; + @sabbreverastring = ("AD") unless @sabbreverastring; + + if ($cal->{id} != 1) # calendar 1 is a placeholder, information is fetched from locale instead + { + @sshortdate = ("") unless @sshortdate; + @syearmonth = ("") unless @syearmonth; + @slongdate = ("") unless @slongdate; + @sdayname = ("") x 7 unless @sdayname; + @sabbrevdayname = ("") x 7 unless @sabbrevdayname; + @sshortestdayname = ("") x 7 unless @sshortestdayname; + @smonthname = ("") x 13 unless @smonthname; + @sabbrevmonthname = ("") x 13 unless @sabbrevmonthname; + @smonthday = ("") unless @smonthday; + } + + $calendar_data .= pack "S<2L<17", + $cal->{id}, # CAL_ICALINTVALUE + $itwodigityearmax || 99, # CAL_ITWODIGITYEARMAX + add_strarray( @sshortdate ), # CAL_SSHORTDATE + add_strarray( @syearmonth ), # CAL_SYEARMONTH + add_strarray( @slongdate ), # CAL_SLONGDATE + add_strarray( @serastring ), # CAL_SERASTRING + $iyearoffsetrange, # CAL_IYEAROFFSETRANGE + add_strarray( @sdayname ), # CAL_SDAYNAME + add_strarray( @sabbrevdayname ), # CAL_SABBREVDAYNAME + add_strarray( @smonthname ), # CAL_SMONTHNAME + add_strarray( @sabbrevmonthname ), # CAL_SABBREVMONTHNAME + add_string( $scalname ), # CAL_SCALNAME + add_strarray( @smonthday ), # CAL_SMONTHDAY + add_strarray( @sabbreverastring ), # CAL_SABBREVERASTRING + add_strarray( @sshortestdayname ), # CAL_SSHORTESTDAYNAME + add_string( $srelativelongdate ); # CAL_SRELATIVELONGDATE + } + + # output locale header + my $nb_lcids = scalar keys %lcids; my $nb_locales = scalar grep { !defined $_->{alias} } @locales; my $nb_lcnames = scalar keys %lcnames; my $locale_size = length($locale_data) / $nb_locales; - my $nb_calendars = 0; + my $nb_calendars = scalar @calendars; + my $calendar_size = length($calendar_data) / $nb_calendars; my $lcids_offset = 19 * 4; # size of header my $lcnames_offset = $lcids_offset + length $lcid_data; my $locales_offset = $lcnames_offset + length $lcname_data; my $calendar_offset = $locales_offset + length $locale_data; - my $strings_offset = $calendar_offset; + my $strings_offset = $calendar_offset + length $calendar_data; my $locale_header = pack "L<7S<4L